Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
170.00
Buy It Now
$15.42 Shipping
Condition: New
Location: Coram, United States
Alliance Bearing Plate C40PN:F8376804, Speed Queen, Ipso, Huebsch.
$
459.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary.
$
709.22
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
This Novellus Systems 15-00703-00 150mm Fork Top Plate Spindle OEM is manufacturer refurbished surplus. The physical condition is good, but there may ... morebe signs of previous use and handling. Manufacturer Refurbished.
$
512.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. STOP,CHAMBER,300MM. Serial numbers or country of manufacture may vary. Inventory # CONJ-2478.
$
49500.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
This system requires 208VAC, 60Hz, but it appears to have five input wires (three black line wires, and green ground wire, and an white neutral wire); ... morewe do not have any power outlets that accommodate five wires, so we have no way of powering up or testing this system, or any of its com ponents, and it is being sold as-is.
$
608.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
For use with a AMAT Applied Materials Precision 5000 P5000 CVD Etcher System. This AMAT Applied Materials 0030-09057 Front Bezel is used working surplus. ... moreThe physical condition is good, but there are signs of previous use and handling.
$
5500.00
Buy It Now
$266.41 Shipping
Condition: Used
Location: Morgan Hill, United States
Condition:used. The photos were from the real parts. Not tested. We sell it at AS Is , where is, no return. No warranty. Please double check the photos ... morebefore you buy this item. Appreciate your time!Pls contact us if you have any questions regarding to ElectroGlas EG 1034 EG 2001 EG 2010 EG 3001 EG 4080 EG 4085 EG 4090 EG 4090u+ wafer prober. Appreciate your time.
$
1009.23
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
This Lam Research 715-009778-002 Electrode Cap Assembly is manufacturer refurbished surplus. The physical condition is good, but there may be signs of ... moreprevious use and handling. Model No: CAP, ELECTRODE.
$
1508.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Nikon NWL 860 TNB-SP 200mm Wafer Inspection Loader NWL860. The physical condition is good, but there are signs of previous use and handling. ... moreModel No: Change Arm. Think Gear Motor Part No: TE-35QG-24-200.
$
808.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Novellus Systems 15-11407-00 DLCM/H TR BTM Sensor Plate is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. PLATE, SENSOR, BTM, RT, DLCM/H.
$
2350.00
Buy It Now
$170.80 Shipping
Condition: Used
Location: Morgan Hill, United States
It is subject to prior sale without notice. Condition: Used. We do not have capability to test it! No warranty.
$
1509.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Model No: 300mm Interface Block Roller. Serial numbers or country of ... moremanufacture may vary.
$
6012.21
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
This AMAT Applied Materials 0200-11156 Quartz Bell Jar is refurbished surplus. The physical condition is good, but there may be signs of previous use ... moreand handling. Manufacturer Refurbished. Part No: 0200-11156.
$
2512.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Shinko Electric 1B80-002404-11 300mm Load Port is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... morePart No: 1B80-002404- .
$
275.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
Chuck 4” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-2-8Condition: used. Not tested. We sell it at AS Is , where is, no return. No warrantyPls ... morecontact us by email if you have any questions. Appreciate your time.
$
1506.23
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
This Lam Research 715-330984-001 ESC Gas Injection Fixed Gap Bottom Ring is manufacturer refurbished surplus. The physical condition is good, but there ... moremay be signs of previous use and handling. Manufacturer Refurbished.
$
3502.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a Rudolph Technologies F30 300mm Wafer Mounter & Defect ... moreInspection System. Inventory # A-19527.
$
9999.99
Buy It Now
Condition: Used
Location: San Diego, United States
BOC Edwards Auto 500 Thermal Resistance Evaporator Coater. Manufacturer: Edwards. However, we are human, and occasionally make mistakes. Model: Auto 500. ... moreIn others, we can do very little or no testing.
$
1811.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This AMAT Applied Materials 0020-82550 Pre-Defining is new surplus. The physical condition is great. Serial numbers or country of manufacture may vary.
$
2008.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Umicore 0483078 Chromium 99.95% Sputtering Target AKQ515HEC Balzers BK209725-T is used working surplus. The physical condition is good, but there ... moreare signs of previous use and handling. Part No: 0483078.
$
804.24
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Mattson Technology 304-16239-00 is new surplus. The physical condition is great. Part No: 304-16239-00. Serial numbers or country of manufacture ... moremay vary.
$
217.49
Buy It Now
$18.99 Shipping
Condition: Used
Location: Cedar Hill, United States
This item is to be installed and maintained by qualified individuals. We claim no expertise in the use of this item. We accept no responsibility on the ... morecorrect/incorrect application or installation of this item.
$
505.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Rudolph Technologies F30 300mm Wafer Mounter & Defect Inspection System. The physical condition is good, but there are signs of previous ... moreuse and handling. Model No: Mounter Cutting Tool.
$
180.00
Buy It Now
$17.50 Shipping
Condition: Used
Location: San Jose, United States
24V 488980C2 Solenoid Valve.
$
1808.20
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Item Condition: Untested, Sold As-Is. Serial numbers or country of manufacture ... moremay vary. Part No: 210-13100-00.
$
1508.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Nikon NWL 860 TNB-SP 200mm Wafer Inspection Loader NWL860. This Nikon NWL860 200mm Wafer Inspection Sensor Laser Bracket is used working ... moresurplus. The physical condition is good, but there are signs of previous use and handling.
$
3599.99
Buy It Now
$85.00 Shipping
Condition: Used
Location: Hayward, United States
$
1101.10
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Fortrend 120-1006 200mm Electra Automatic Wafer Transfer System is used working surplus. It was tested in our facility and is fully functional. The ... moreunit cycled through all functions. The physical condition is good, but there are signs of previous use and handling.
$
199.00
Buy It Now
$17.50 Shipping
Condition: Used
Location: San Jose, United States
Varian 04-700090-01 Dua1 8-Channel Valve Board. 4ea 24V Solenoid Valve p/n: 04-709553-01. 1ea 24V KOSMA 110E1-F11 Valve.
$
995.00
Buy It Now
$111.09 Shipping
Condition: New – Open box
Location: North Billerica, United States
$
8509.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Model No: ADH Adhesion Process Station. 5086-403977-11 SENSOR,CYLINDER ADH U/D #02 (Qty.1). 5086-400794-11 SENSOR,PROXIMITY ADH #01 (Qty.1). 5086-401786-11 ... moreSENSOR,PROXIMITY ADH #02 (Qty.1). The unit is missing an upper right view panel bracket, the unit appears complete (see photos).
$
3500.00
Buy It Now
$84.73 Shipping
Condition: Seller refurbished
Location: Brentwood, United States
Quartz Static Bath 150mm with drain. (6)
$
509.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. SCREEN,LOUVERED,TMP,SPD/SPD-S. Inventory # CONJ-1808.
$
275.00
Buy It Now
$47.53 Shipping
Condition: Used
Location: Morgan Hill, United States
Jennings UCSL-1000-5S AWD-D-1-0-016-001Photos are for all and the price is for one.Condition: Used. Not tested!We sell it at AS Is , where is, no return. ... moreNo warrantyIt is subject to prior sale without notice. Pls check the availability with us. Pls contact us by email directly if you have any questions. Appreciate your time.
$
2006.22
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. NEST,CASS 8"AGV. Part No: 303-02287-00.
$
1509.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Serial numbers or country of manufacture may vary.
$
1507.22
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. For use with a AMAT Applied Materials Endura 5500 PVD System. Part No: 0021-20045.
$
408.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: 715-330889-002.
$
83500.00
Buy It Now
Condition: Seller refurbished
Location: North Billerica, United States
SST MV 2200 (SMALL FOOT PRINT VERSION….21X34X46” TALL). Serving the needs of Colleges and Universities worldwide.
$
1010.20
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
Develop Process Station, DEV ASSY. The unit has cut cables (see photos). The physical condition is good, but there are signs of previous use and handling. ... moreAssembly Part No: 5087-403489-15, SCAN(ARM-B) ASSY.
$
808.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Novellus Systems 15-11153-00 RF Insulator Plate is used working surplus. The physical condition is good, but there are signs of previous use and ... morehandling. PLATE, INSULATOR, C2 SEQUEL STANDARD. Part No: 15-11153-00.
$
1603.23
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. RngFab,RING,ORIFICE HARDCOAT. Part No: 715-005844-101. Serial numbers or country of manufacture may vary.
$
350.00
Buy It Now
$53.96 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Condition: Used. New? The photos were from the real parts. Not tested. We sell it at AS Is , where is, no return. No warranty. Please double check the ... morephotos before you buy this item. Appreciate your time!Photos are for all and the price is for all. Thanks!!!Pls contact us if you have any questions regarding to ElectroGlas EG 1034 EG 2001 EG 2010 EG 3001 EG 4080 EG 4085 EG 4090 EG 4090u+ wafer prober. Appreciate your time.
$
809.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary.
$
1205.22
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This CoorsTek 1450000200 10.5" Disconnect Insulator is new surplus. The physical condition is great. Part No: 1450000200. Mattson Technology Part No: ... more145-00002-00. Serial numbers or country of manufacture may vary.
$
511.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0270-35134 5200 CVD View Lid Centura is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. 5200 CVD TXZ VIEW LID. Serial numbers or country of manufacture may vary.
$
1003.10
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
This Varian 4287500 Bernas Source Assembly is refurbished surplus. The physical condition is good, but there may be signs of previous use and handling. ... morePart No: 4287500. Manufacturer Refurbished. Serial numbers or country of manufacture may vary.
$
2505.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
For use with a AMAT Applied Materials Precision 5000 P5000 CVD Cluster Tool. This AMAT Applied Materials 0020-04172 EXT Cathode Base Insert is new surplus. ... moreThe physical condition is great. INSERT, BASE (EXT, CATHODE).
$
809.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. SHIELD, CHAMBER, RIGHT FRONT. Serial numbers or country of manufacture may vary.
$
25.00
Buy It Now
Free Shipping
Condition: New
Location: San Jose, United States
Location: A1.
$
2010.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Novellus Systems 02-03339401 200mm Wafer Pedestal Heater is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. Serial numbers or country of manufacture may vary.
$
1610.20
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
This AMAT Applied Materials 0021-18485 Cover Ring is refurbished surplus. The physical condition is good, but there may be signs of previous use and handling. ... moreCOVER RING 300MM. COVER RING, SMALL ID, AL ARC-SPRAYED, 300MM.
$
249.99
Buy It Now
$13.85 Shipping
Condition: For parts or not working
Location: Spring, United States
When we make a mistake, we fix it.
$
1101.10
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Fortrend 120-1006 200mm Electra Automatic Wafer Transfer is used working surplus. It was tested in our facility and is fully functional. The unit ... morecycled through all functions. The physical condition is good, but there are signs of previous use and handling.
$
400.00
Buy It Now
$55.87 Shipping
Condition: Seller refurbished
Location: Brentwood, United States
Heated Stainless Static Bath. 100 mm 6x6x10. (4)
$
1253.60
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a AMAT Applied Materials Precision 5000 P5000 CVD Etcher System. The physical condition is good, but there are signs of previous use and ... morehandling. Sale Details.
$
1007.22
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
This AMAT Applied Materials 0020-24101 200mm Pedestal PCII Ti Lot of 2 is manufacturer refurbished surplus. The physical condition is good, but there ... moremay be signs of previous use and handling. Removed from a AMAT Applied Materials Endura 5500 PVD System.
$
809.23
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This AMAT Applied Materials 02217-11829 100mm ESC Focus Ring Set 02217-11829-02 New is new surplus. The physical condition is great. Model No: ASSY FOCUS ... moreRING 100MM ESC, P5000. Part No: 02217-11829.
$
1700.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Serial numbers or country of manufacture may vary. Item Condition: Used.
$
110.74
Buy It Now
$47.90 Shipping
Condition: Used
Location: Lafayette, United States
This is a high-quality Mitsubishi Electric AMAT variable speed/frequency drive inverter (model number FR-D720-070-NA). It is lightweight and easy to use, ... morewith a product weight of only 1.35 kg and a package weight of 4.85 kg. This drive is ideal for use in CNC, Metalworking & Manufacturing, Semiconductor & PCB Manufacturing Equipment, and Wafer Processing. The inverter is designed to provide reliable and efficient performance, with a brand you can trust. With an MPN2 of E11325080, this Mitsubishi Electric AMAT variable speed/frequency drive inverter is a top-of-the-line product that will meet all of your manufacturing needs.
6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24
 In 

Former Listings  
 
Electroglas Power DAR 2 Assy 251074-002 For A Total of 7 Boards
Lot 225 This is for a total of 7 boards Electroglas Power DAR 2 Assy: 251074-002 Sold as is. Posted with
TEL Alpha 8S, 3x Differential Pressure Switches, MS61L, Assy w/ Gauge, Yamamoto
3x Differential Pressure Switches. MS61L Range 0.05 to 0.3 kPa Complete assembly including differential pressure gauge Made by Yamamoto Electric Works From a working defacilitized TEL Alpha 8S Vertical Furnace. Will expedite shipment if necessary. Will combine on shipping. Be sure to let us know what you need. Sales in Texas must include 8.25% sales tax or provide a copy of a resale certificate. We can use your FedEx. UPS or other account to ship if you provide an account number. We prefer shipping overseas using FedEx International Economy. Please contact us for shipping rates overseas.
VARIAN MASS SPECTROMETER RGA HEAD
This listing is for an RGA Head that has been removed from a Varian MAss Spectrometer. The item is in good condition
TEL Alpha 8S, Barcode Reader BCV 5056 5050, BCC 2600, Densei, No Heads, BCR 2622
Densei Barcode Reader Setup With 3x BCV 5056 Power Suppl ies BCC 2600 Interface Controller Interconnect Cables Without Laser Heads From a working defacilitized TEL Alpha 8S Vertical Furnace. Will expedite shipment if necessary. Will combine on shipping. Be sure to let us know what you need. Sales in Texas must include 8.25% sales tax or provide a copy of a resale certificate. We can use your FedEx. UPS or other account to ship if you provide an account number. We prefer shipping overseas using FedEx International Economy. Please contact us for shipping rates overseas.
TEL Alpha 8S, Static Eliminator IBC20-1, 4005160, Intelligent Balance Controller
Static Eliminator IBC20-1. 4005160 Made by Richmond Technology Inc. Complete setup including Intelligent Balance Controller. Air Supply Filter and Line Cord. From a working defacilitized TEL Alpha 8S Vertical Furnace. Will expedite shipment if necessary. Will combine on shipping. Be sure to let us know what you need. Sales in Texas must include 8.25% sales tax or provide a copy of a resale certificate. We can use your FedEx. UPS or other account to ship if you provide an account number. We prefer shipping overseas using FedEx International Economy. Please contact us for shipping rates overseas.
TEL Alpha 8S, F/C Panel, Operator, 2109-321308-11, w/2 MC-31023A, 1181-000182-14
F/C Panel. 2109-32130 8-11 Black in color. Used on clean room/ operator side of machine. Note: one light/switch is damaged. Complete assembly including two PCB's. MC-31023A R ev 1B. SD4-S213. 1181-000182-14 From a working defacilitized TEL Alpha 8S Vertical Furnace. Will expedite shipment if necessary. Will combine on shipping. Be sure to let us know what you need. Sales in Texas must include 8.25% sales tax or provide a copy of a resale certificate. We can use your FedEx. UPS or other account to ship if you provide an account number. We prefer shipping overseas using FedEx International Economy. Please contact us for shipping rates overseas.
Parker Compumotor AT 6400 PCA 71-011823-43 REV:E BOARD
UP FOR BIDS IS A USED IN EXCELLENT WORKING CONDITION Parker Compumotor AT 6400 PCA 71-011823-43 REV:E BOARD. THIS BOARD WAS REMOVED FROM A CNC SHOW MACHINE. WINNIG BIDDER PAYS FOR SHIPPING. THANK YOU FOR VIEWING MY ITEMS. GOOD LUCK BIDDING.
SEIKO SEIKI STP-A2503 PV TURBO PUMP SET & CONTROLLER+CABLE
ITEM INFORMATION SEIKO SEIKI STP- A2503 PV TURBO PUMP& CONTROLLER + CABLE DESCRIPTION: VERY GOOD CONDITION. CONDITION: USED SALES DETAILS SHIPPING: FEDEX ECONOMY PAYMENT: WE ACCEPT PAYPAL ONLY RETURN: WITHIN 14DAYS& REFUND 100% WITHIOUT SHIPPING COST. BUYER PAYS RETURN SHIPPING FEE. PLEASE CONTACT ME IF YOU HAVE ANY QUESTION. Visit my eBay Store Powered by The free listing tool. List your items fast and easy and manage your active items.
IDI 450 resist pump
This a IDI photoresist pump model 450. This pump has been cleaned and tested. It also has a new resist 40 stack filter to go with it.
Brooks MultiTran 5/VacuTran 5 MTR/VTR Robot Vacuum Arm Assembly w/o End Effector
Refurbished Brooks MultiTran 5/VacuTran 5 MTR 5/VTR 5 Robot Arm Assembly without End Effector Item# 001-5370-16R Bought refurbished from Brooks. We would rather not open the package unless requested for pictures. Email with any questions. Lot features a refurbished dual BiSymmetrik"frogleg" arm with two end effectors(no end effectors are included in this auction) that is an integral part of the Brooks MultiTran 5/VacuTran 5 in-vacuum wafer handling robot. Terms of Sale Buyer pays $38 shipping(continental US) HI. AK, PR and Canada pay $88 shipping. Paypal usually ships within 24 hours. Other. International(non-Canadian) bidders PLEASE contact us before bidding. Thanks for your interest. Please email with any questions.
Bold Technologies - Batch Developer/Wet Bench
Bold Technologies- Batch Developer/Wet Bench DESCRIPTION Bold Technologies Batch Developer Product Condition: USED Specifications: Bold Technologies- Batch Developer/Wet Bench S/N= 1834. Comes with Controls/Manuals. Local Pickups Local pickup is available for all items Monday thru Friday 8:30am- 4:30pm Domestic Shipments Domestic shipments are sent via UPS or USPS Priority Service. We choose the fastest most economic shipping method based on a items size. Weight, and value ratio. Items sent to Alaska& Hawaii are subject to additional charges. International Shipments We ship to all international countries. International shipments are sent USPS International Priority unless otherwise requested. Contact us for international shipping quotes. Freight Shipments Items requiring freight will be securely strapped to a pallet and loaded at no additional ... morecharge. Carriers assess an extra charge if delivery is made to residential area and/or if the service of a lift gate are required. Rigging charges may apply to items requiring special loading/shipping requirements. Your satisfaction is our highest priority! To the best of our knowledge the item is in perfect working condition. We do our very best to describe items as accurately as possible and in no way would misrepresent or knowingly sell an item that was not working. But since this item is used and at times. We do not know the history of an item, all items are sold"AS-IS" No refunds, returns, or exchanges will be given. Please contact us with any and all questions as your satisfaction is our highest priority. 1?RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2B%3E%3B1%3F-1407331210a-0xf4-
MGI Electronics - Phoenix V4 Wafer Transfer System for 100mm Wafers
MGI Electronics- Phoenix V4 Wafer Transfer System for 100mm Wafers DESCRIPTION MGI Electronics. Phoenix V4 Wafer Transfer System/100m Wafers Part#V4 Product Condition: USED Specifications: Phoenix V4 Wafer Transfer system for 100mm Wafers. Local Pickups Local pickup is available for all items Monday thru Friday 8:30am- 4:30pm Domestic Shipments Domestic shipments are sent via UPS or USPS Priority Service. We choose the fastest most economic shipping method based on a items size. Weight, and value ratio. Items sent to Alaska& Hawaii are subject to additional charges. International Shipments We ship to all international countries. International shipments are sent USPS International Priority unless otherwise requested. Contact us for international shipping quotes. Freight Shipments Items requiring freight will be securely strapped to a pallet ... moreand loaded at no additional charge. Carriers assess an extra charge if delivery is made to residential area and/or if the service of a lift gate are required. Rigging charges may apply to items requiring special loading/shipping requirements. Your satisfaction is our highest priority! To the best of our knowledge the item is in perfect working condition. We do our very best to describe items as accurately as possible and in no way would misrepresent or knowingly sell an item that was not working. But since this item is used and at times. We do not know the history of an item, all items are sold"AS-IS" No refunds, returns, or exchanges will be given. Please contact us with any and all questions as your satisfaction is our highest priority.
STI Semitool - Model SST-264 Solvent Tool
STI Semitool- Model SST-264 Solvent Tool DESCRIPTION STI Semitool Solvent Tool Part# SST-264 Product Condition: USED Specifications: 100mm Wafer Rinser/Dryer- Local Pickups Local pickup is available for all items Monday thru Friday 8:30am- 4:30pm Domestic Shipments Domestic shipments are sent via UPS or USPS Priority Service. We choose the fastest most economic shipping method based on a items size. Weight, and value ratio. Items sent to Alaska& Hawaii are subject to additional charges. International Shipments We ship to all international countries. International shipments are sent USPS International Priority unless otherwise requested. Contact us for international shipping quotes. Freight Shipments Items requiring freight will be securely strapped to a pallet and loaded at no additional charge. Carriers assess an extra charge if delivery ... moreis made to residential area and/or if the service of a lift gate are required. Rigging charges may apply to items requiring special loading/shipping requirements. Your satisfaction is our highest priority! To the best of our knowledge the item is in perfect working condition. We do our very best to describe items as accurately as possible and in no way would misrepresent or knowingly sell an item that was not working. But since this item is used and at times. We do not know the history of an item, all items are sold"AS-IS" No refunds, returns, or exchanges will be given. Please contact us with any and all questions as your satisfaction is our highest priority. k5n.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B26rkpg%7C%3Ek5n-140733120d4-0xf7-
Plasma Therm 2411 CVD System w/ Remote Control RC-217 & Mass Flow Controls
Plasma Therm 2411 CVD System w/ Remote Control RC-217& Mass Flow Controls DESCRIPTION Plasma Therm Wafer Processing Part#2411 Product Condition: USED- Due to our abilty to test this item. We are selling this item as-is. Specifications: CVD System Remote Control RC-217. Mass Flow Crontrols. Throttle Vavle Crontls. Programmable Sequencer APS-4. Timer Controls. Pump Control. Power Distribution Control. Local Pickups Local pickup is available for all items Monday thru Friday 8:30am- 4:30pm Domestic Shipments Domestic shipments are sent via UPS or USPS Priority Service. We choose the fastest most economic shipping method based on a items size. Weight, and value ratio. Items sent to Alaska& Hawaii are subject to additional charges. International Shipments We ship to all international countries. International shipments are sent USPS International ... morePriority unless otherwise requested. Contact us for international shipping quotes. Freight Shipments Items requiring freight will be securely strapped to a pallet and loaded at no additional charge. Carriers assess an extra charge if delivery is made to residential area and/or if the service of a lift gate are required. Rigging charges may apply to items requiring special loading/shipping requirements. Your satisfaction is our highest priority! To the best of our knowledge the item is in perfect working condition. We do our very best to describe items as accurately as possible and in no way would misrepresent or knowingly sell an item that was not working. But since this item is used and at times. We do not know the history of an item, all items are sold"AS-IS" No refunds, returns, or exchanges will be given. Please contact us with any and all questions as your satisfaction is our highest priority.
Wafer Machine (Maquina de Obleas)
I'm selling three wafer machines for $180 each. They make obleas Colombianas. The machines are used. But they are still in good conditions. I would also include the recipe on how to make the Obleas. If your interested contact me at 832-258-0587 Hablo Espanol
H-Square Corp - Model WT4HAS Cassette Fixtures for 100mm Wafers
H-Square Corp- Model WT4HAS Cassette Fixtures for 100mm Wafers DESCRIPTION H-Square Wafer Cassette Part#WT4HAS Product Condition: USED Specifications: H-Square WT4HAS 100mm Wafer Cassette- Local Pickups Local pickup is available for all items Monday thru Friday 8:30am- 4:30pm Domestic Shipments Domestic shipments are sent via UPS or USPS Priority Service. We choose the fastest most economic shipping method based on a items size. Weight, and value ratio. Items sent to Alaska& Hawaii are subject to additional charges. International Shipments We ship to all international countries. International shipments are sent USPS International Priority unless otherwise requested. Contact us for international shipping quotes. Freight Shipments Items requiring freight will be securely strapped to a pallet and loaded at no additional charge. Carriers ... moreassess an extra charge if delivery is made to residential area and/or if the service of a lift gate are required. Rigging charges may apply to items requiring special loading/shipping requirements. Your satisfaction is our highest priority! To the best of our knowledge the item is in perfect working condition. We do our very best to describe items as accurately as possible and in no way would misrepresent or knowingly sell an item that was not working. But since this item is used and at times. We do not know the history of an item, all items are sold"AS-IS" No refunds, returns, or exchanges will be given. Please contact us with any and all questions as your satisfaction is our highest priority.
Varian Thermocouple Vacuum Gauge 0531-F0472-301 2 Each
Description I’m cleaning out the warehouse. No Reserve. The winning bidder gets 2 Thermocouples that we can't test so we will just call them For Parts. Check our other items! You never know what you may find and we will combine shipping whenever possible. Most questions are covered in the description. Payment or shipping sections, read these sections closely, if we missed something E-Mail us and we will post an answer with the item. Our hours are 8 Am to 5 PM. Monday thru Friday, except some holidays. We make every effort to describe each item accurately. However we are not experts on these items, so it is the bidder’s responsibility to determine the suitability of an item for a particular use, therefore all Items are sold AS IS. Thanks for looking at our auctions! Check out our other listing now! We are not collectors. We price our items ... moreto Sell! SHIPPING(We will use your UPS/FEX account if you desire) $6.00 My Policies: We expect payment to be made within 3 days of close of auction; if you are going to be out of town or can’t get to a phone please don’t bid on this auction. We are required to collect Sales Tax on Deliveries in Georgia. Unless proper Sales Tax Exemption forms are on file. We ship Monday thru Friday(except holidays) usually within two days of payment. We will try to use flat rate shipping whenever possible. But for the times we can’t due to size or weight bidders may use the UPS or USPS website to estimate shipping cost. We will combine shipping. Winning bidders will receive an invoice with the actual shipping charge added to their bid. Local pick-ups are welcome. But Sales Tax will be collected on pick-ups in Georgia. Unless proper Sales Tax Exemption forms are on file. NOTE: Some items due to weight or size will need to be shipped by truck line. In these cases the winning bidder will make their own shipping arrangements. We are not experts on anything, but we make every effort to describe each item accurately. However it is the bidder’s responsibility to determine the suitability of an i
MetOne Laser Liquid Counter with warranty
MetOne Laser Liquid Counter 214-1-3-0-0-0 115V 60 Hrz THIS UNIT TESTED GOOD AND COMES WITH WARRANTY!
Lam Research RF Coupler Assembly, 853-033766-005-1-1273
For sale Lam Research RF Coupler Assembly. 853-033766-005-1-1273, unit is clean remove from working machine,
Strasbaugh's 6EC CMP
This unit is being offered as-is with 14 day return Strasbaugh 6EC CMP for Failure Analysis. MEMS, Telecommunications and R&D Strasbaugh's 6EC CMP system is an economical tool for CMP research. MEMS polishing, telecommuncitions, WholeWaferDeconstruct ™ for failure analysis. And low-volume production. Its semi-automatic operations are programmable through a color. Touch-screen GUI for repeatable and accurate process control. Featuring advanced technologies. Such as the ViPRR ™ Carrier and Hydro-Lift Load Station ™ minimize contamination and deliver superior. Center-to-edge uniformity. Easing the transition from development to production. nSpire is the affordable solution. Providing the benefits of state-of-the-art CMP at a low cost. 6EC Benefits Operations are programmable through a color. Touchscreen GUI Process recipes are transfer-able ... moreto Strasbaugh's fully automated 6DS-SP CMP system for high-volume production ViPRR carriers reduce edge exclusion. Control center-to- edge uniformity, and improve overall performance and reliability Hydro-Lift Load Station and spindle flush eliminate front- side contact and reduce contamination Closed-loop table and spindle RPM motors help ensure consistent process results Programmable pad conditioning increases the lifetime of the pad and improves WIWNU Minimal maintenance lowers the cost of ownership Small footprint makes the 6EC convenient for small labs Pad conditioning system provides in-situ and/or ex-situ programmable selective pad conditioning for consistent removal rates and minimum non-uniformity. 20 zones of programmable control: dwell time. Downforce and RPM can be programmed for each zone. Pad conditioning can be done with a diamond plated disk or nylon brush.
Fluoroware A057-1 End-Load Style Handle for 200mm Low-Profile Wafer Carrier 24”
Search our eBay Store! Fluoroware A057-1 End-Load Style Handle for 200mm Low-Profile Wafer Carrier 24” SKU: JV-MAT-C-LOWPROFILE24 Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Fluoroware A057-1 End-Load Style Handle for 200mm Low-Profile Wafer Carrier 24” Model: A057-1 Physical Condition: Good. Minor scuffs/scratches and signs of use. Functionality/Degree of Testing: We do not have the necessary resources to properly test this unit. Dimensions(L" x W" x H" 24*2.75*4.75 THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The ... moresale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us before leaving feedb
Fluoroware A057-2 End-Load Style Handle for 200mm High-Profile Wafer Carrier 18”
Search our eBay Store! Fluoroware A057-2 End-Load Style Handle for 200mm High-Profile Wafer Carrier 18” SKU: JV-MAT-C-WAFERHANDLE2 Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Fluoroware A057-2 End-Load Style Handle for 200mm High-Profile Wafer Carrier 18” Model: A057-2 Physical Condition: Good. Minor scuffs/scratches and signs of use. Functionality/Degree of Testing: We do not have the necessary resources to properly test this unit. Auction is for handle only. Wafer carrier is not included. Dimensions(L" x W" x H" 18.25*2.75*4.5 THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. ... moreSVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your
Fluoroware A72-60-04 PFA Slingshot Style Handle for 182/194 Series Wafer Carrier
Search our eBay Store! Fluoroware A72-60-04 PFA Slingshot Style Handle for 182/194 Series Wafer Carrier SKU: JV-MAT-C-SLINGSHOTA72 Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Fluoroware A72-60-04 PFA Slingshot Style Handle for 182/194 Series Wafer Carrier Model: A72-60-04 Physical Condition: Good. Minor scuffs/scratches and signs of use. Functionality/Degree of Testing: We do not have the necessary resources to properly test this unit. Auction is for handle only. Wafer carrier is not included. Dimensions(L" x W" x H" 9.25*7.25*0.625 THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL ... moreDEVICES. SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with y
Tegal Plasmaline Model 421 Barrel Etch Plasma System Wafer Etcher w/ Gauges
This listing is for a Tegal Plasmaline Model 421 Barrel Etch Plasma System Wafer Etcher w/ Gauges Local Pickup always Welcome! Dallas. TX_ For Sale is a Tegal Plasmaline Model 421 Barrel Etch Plasma System Wafer Etcher including Power Supply. SR-250D Gauge, Fischer& Porter Gauge Model 10A1337, and Operating Manual. This unit has been tested working properly. This unit is in good condition and has the regular use marks for an item like this. We not sure how many hours have been put on this Plasmaline but it was taken from a working enviroment. Message me if you would like to get a shipping quote for Freight. Please let me know if you have any questions or concerns. Does not include original packaging. We have described this item to the best of our knowledge and ability. Please carefully examine each of the photos and ask me if need any ... moreother information before you purchase. Each of our items is carefully packaged at our warehouse to ensure a safe shipment. After winning this auction you will be sent a tracking number for your item. We mainly ship with UPS or USPS for reliability and speed. If you want additional information regarding the content of this item please refer to the manufacturer for more details. If you have any questions please feel free to Contact Us. TechNextDoor Store Policies: Note: International Bidders requesting a shipping quote must leave a address to calculate shipping. All Items are shipped with Insurance. Items over $250 will require a signature upon delivery. I do not offer warranty or technical support on products listed. Local Pickup is welcomed. Please contact me for appointment. International bidders are responsible for any international fees or dues. In many cases these items are consigned or purchased second hand. I do not always know the full history or how to operate and repair these items. I try to the best of my knowledge to list the item accurately. Please note: We process and ship orders Mon– Fri and are unable to offer w
Dual 150mm PVDF (QDR) Quick Dump Rinse Tank #2
Very good condition with little or no signs of wear. Air operated dump gate with dual port drain 2- Top spray bars Bottom fill Previously used for DI water processes Completely rinsed to PH neutral Dimensions L27-3/4" x W12-7/8" x H16" Weight 49 lbs. For questions concerning this post please contact; Randy(541) 936-6341 Randy.hicks@meillc.com
Dual 150mm PVDF Recirculated Process Rinse Tank
Good operable condition with little or no signs of wear. Fluid dispersion plate w/ stand offs Previously used for HF processing Completely rinsed to PH neutral Dimensions L30" x W19" x H12" Weight 27 lbs. For questions concerning this post please contact; Randy(541) 936-6341 Randy.hicks@meillc.com
Dual 200mm Akrion Quartz Megasonic Recirc Proc Rinse Tank w/ megasonic Generator
Good operable condition with normal signs of use. Previously used for NH4OH and H2O2 processing Completely rinsed to PH neutral 115V/230V. 20A/12A, 47-63 Hz, 2.5kW Dimensions: Tank = L30" x W14" x H15" Generator = L17" x W17" x H8" Weight: Tank = 70 lbs. Generator = 47 lbs. For questions concerning this post please contact; Randy(541) 936-6341 Randy.hicks@meillc.com
COPEL ELECTRONICS PG-35 PRESSURE GAUGE PG-35-103R-NGF
Manufacturer: COPEL Model: PG-35 Condition: USED Notes: Used surplus from a major U.S. fab. Gauge was removed from a working chiller Included: 1) COPEL ELECTRONICS PG-35 PRESSURE GAUGE PG-35-103R-NGF
0010-38438 Assy C-Chuck 200mm, CIP 99
OEM USED WORKING. 4sets Stock Now! Posted with
H-SQUARE Waffer Presentation System, WP18-AC
Item up for sale is a: H-SQUARE Waffer Presentation System Model No. WP18-AC Wafer Presentation System. 8"200mm) microprocessor-Controlled, Automatic, Class 1 cleanroom compatible, 120VAC Item is used and is untested other than what is indicated below: Unit Powers On- Unit Passed Basic Operational Tests- If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. 24 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. Payment options: PayPal only or contact us for payment methods to pay on pickup. Warranty for US shipments: 14 days testing period unless the auction specified as final sale. ... moreBuyer must return the product back to the company in a timely manner. Refund upon receiving and inspecting of product and for the cost of the product only. Restocking fee may apply for the new product shipped. Warranty for International shipments: All international orders are final. No exception. Shipping: Buyer is responsible for all shipping cost including returns. Please note for domestic shipments(within US) we ship Fedex ground only. But you can use UPS ground shipping rates(calculated automatically by ebay) to estimate the shipping charges. Actual Fedex ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FEDEX does not ship to PO Boxes- please provide us with a regular address prior to completing the transaction. International Shipments: International shipments are shipped by USPS global express mail if such option is available. We process international shipments twice a week. Please note that Buyer is responsible for all custom duties/taxes/fees where applicable. Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the
Wafer Process 2000QDL Dump Logic Cycle Control Meter
Item up for sale is a: Wafer Process Systems Model 2000QDL Dump Logic Cycle Control Meter*Item has minor marks from prior use. This item is NOT tested unless otherwise noted. If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. ~5 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. Payment options: PayPal only or contact us for payment methods to pay on pickup. Warranty for US shipments: 14 days testing period unless the auction specified as final sale. Buyer must return the product back to the company in a timely manner. Refund upon receiving and inspecting of product and for the cost ... moreof the product only. Restocking fee may apply for the new product shipped. Warranty for International shipments: All international orders are final. No exception. Shipping: Buyer is responsible for all shipping cost including returns. Please note for domestic shipments(within US) we ship Fedex ground only. But you can use UPS ground shipping rates(calculated automatically by ebay) to estimate the shipping charges. Actual Fedex ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FEDEX does not ship to PO Boxes- please provide us with a regular address prior to completing the transaction. International Shipments: International shipments are shipped by USPS global express mail if such option is available. We process international shipments twice a week. Please note that Buyer is responsible for all custom duties/taxes/fees where applicable. Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidd
AMAT Chamber Controller 0090-05545 Rev01 (0090-02527) (AS00363-03 Rev002)
AMAT Chamber Controller 0090-05545 Rev01(0090-02527) AS00363-03 Rev002) with Following cards installed. AMAT Signal Conditioning(1) AMAT Producer SE CH InterLock Module CDN500R-19(1) MKS Analog IO board CDN496R(2) MKS Digital IO Board CDN491R(3) CONDITION: Good working and cosmetic condition. No manual or Accessories. If a part is not pictured. Or mentioned in the description, then it is not included in the sale. SHIPPING: FedEx Economy 4 to 6 Days Delivery. Handling Time 1 to 2 Days. PAYMENTS: Payment(s) for purchases are made via PayPal. Visa, MasterCard or American Express. We ship to address provided by Payapl or ebay. If you need to change your address please let us after order the item. QUESTIONS: Please E-mail us with your questions for more pictures or details. or incorrect information. DISCLAIMER: EISALE1535 makes no representation ... moreof any item sold to be fit for a particular purpose and assumes no liability for its use or misuse. It is assumed that the unit will be installed and operated by qualified personnel. The purchaser agrees that the seller shall not be held responsible or liable for any injuries or damages. Whether incidental or consequential, associated in any way with the equipment. The Purchaser. By bidding on this equipment, indicates their acknowledgment of and agreement to the terms of this disclaimer. INTERNATIONAL BUYERS PLEASE NOTE: Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying. We DO NOT mark merchandise values below value or mark items as"gifts" US and International government regulations prohibit such behavior. FOLLOW UP: We would greatly appreciate hearing from you if anything was wrong with shipping or packaging. Thank you- Have a Good day! 7:ce.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*14%3E7%3Ace-14089ca81d5-0x100-
CANON USHIO XS-15201AP LAMP POWER SUPPLY WORKING!!
Manufacturer: USHIO Model: XS-15201AP Condition: USED Notes: Used surplus removed from a major fab. Power supply is a working unit but has some damage on side of the unit as seen in pictures Included: 1) CANON USHIO XS-15201AP LAMP POWER SUPPLY WORKING!
RF Auto Matcher
Daihen RF Auto Matcher Type: NX-RMN-20K2 Serial Number: TD1536A2 Frequency: 13.56m Hz 500W max Rated Power: 2000w DC Input: 24v 3A Pulse: 13.65MHz 2KW Date: 7/2007 Weight: 18kg
Strasbaugh 6BK ring lapper. Clean
This lapper is clean and functional except it is not equipped with a lap. Rings or the"tree" You will have to acquire these parts from Strasbaugh to fit your needs. It has the timer features as well as a timed pneumatic slurry dispenser and is magnetically stirred. You will also need to acquire the slurry container from strasbaugh.
Brooks 119368 PRI Robot Control/Power for AcuTran 7
Brooks 119368 PRI Robot Control/Power for AcuTran 7
GE Fanuc PLC Series 14 pieces IC693PWR (2) IC693CPU (1) IC693MDL (7) (14 in LOT)
LOT INCLUDES THE FOLLOWING: ITEM/ QTY IC693MD L753H 4Used IC693MD L655H 3Used IC693AL G22E 2Used IC693PWR 322J 2Used HE693DNT 250M 1Used IC693CPU374-GS 1Used IC693MDR 390C 1Used
4Sets of 0010-38438 Assy C-Chuck 200mm, CIP 99
OEM USED WORKING. GOOD CONDITION Posted with
Vacuum General 80-6B Baratron Pressure Display Guage Gauge Gage
Vacuum General Model(model 80-6B) Pressure Display This unit is designed to power baratron pressure gauges. It has J1 sensor input. J2 relay output, fast-med.slow with range 1-10-100-1000 torr and J4 signal output with 115VAC, 50/60 Hz and 1/8 SE fuse Unit powers up and is in great condition. This came with some baratron guages. But I lack the experience to test it fully. I will offer a 7-day warranty so that you can test it out. Terms of Sale Buyer pays $12 shipping(continental US) HI. AK and Canada pay $34 shipping. Paypal usually ships within 24 hours. Other international(non-Canadian) bidders MUST contact us before bidding please. Thanks for your interest. Please email with any questions.
Numatics 11-Position Manifold w/ 041BA400MP00061 Solenoid Valve Pneumatic Air
You are viewing an: Numatics 11-Position Manifold Model Number: n/a Item Condition: Removed from a working environment Good overall condition Comes as pictured. No fittings or other items included Not all the solenoids are the same model. Please see pictures Thank You for Viewing My auction. Please feel free to email me if you have any questions! I want you to have a positive experience with me. If something is wrong with your order. Please email me and I will do my best to resolve your issue promptly. I want your 5-Star Positive Feedback! Sellebrity Analytics.
Numatics 9-Position Manifold w/ 041BA400MP00061 Solenoid Valve Pneumatic Air
You are viewing an: Numatics 9-Position Manifold Model Number: n/a Item Condition: Removed from a working environment Good overall condition Comes as pictured. No fittings or other items included Thank You for Viewing My auction. Please feel free to email me if you have any questions! I want you to have a positive experience with me. If something is wrong with your order. Please email me and I will do my best to resolve your issue promptly. I want your 5-Star Positive Feedback! Sellebrity Analytics.
LG SMD-65P GREEN SERIES 3-POLE CIRCUIT BREAKER 24V COIL
Manufacturer: LG Model: SMD-65P Condition: USED Notes: Used surplus removed from a major U.S. fab. Circuit breaker is in very good condition Included: 1) LG SMD-65P GREEN SERIES 3-POLE CIRCUIT BREAKER 24V COIL Specifications: Coil Voltage: 24V 3-POLE
TORR INTERNATIONAL RIE 600W-CS REACTIVE ION ETCHER ETCHING SYSTEM *POWERS ON*
TORR INTERNATIONAL RIE 600W-CS REACTIVE ION ETCHER ETCHING SYSTEM*POWERS ON* Item Specifications Model: RIE-600W-CS The Reactive Ion Etcher includes the following components(Only some of the parts are listed. All parts/components shown in photos are included) Comdel CX-600H HF RF Generator- Inficon VGC401- Seren MC2 Automatic Matching Network Controller- Leybold Turbotronik NT 20- JDS Uniphase 2211D-25MLHCH- Hastings Instruments Power Supply Model 400- Hastings Instruments Power Supply Model 200- Seren AT-6 Automatic Matching Network- Leybold Trivac D4B Pump- Affinity RAA-007Q-CE01CBN1 Chiller NOTE: Photos show the exact/actual system that you will receive. Unit's Description This system is used and appears to be in overall excellent physical condition. It can be powered up as shown and was in working condition when we purchased it from ... morethe laboratory. As we do not have the technical expertise to further test the system. We are selling it on an"AS IS BASIS" We welcome inspection, please email us for details. Shipping We ship worldwide. Please email for a shipping quote. My Store | Follow us on Twitter for Real-Time Inventory Updates | Contact Us
Thornton Resistivity Monitor Model 200CR #3
P lastic mounting bracket Dimensions L11" x W5" x H7 Weight 3 lbs. Reserve Price $500.00 This auction for one single unit QTY- 3 available For questions concerning this post please contact; Randy(541) 936-6341 Randy.hicks@meillc.com
Quartz Cool Down Reservoir #2
Ready to be connected to cooling coils Completely rinsed to PH neutral Dimensions L22" x W15" x H15" Weight 20 lbs. For questions concerning this post please contact; Randy(541) 936-6341 Randy.hicks@meillc.com
Process Technologies HOT SHOT 2 Quartz In Line Heater #2
PTFE housing Completely rinsed to PH neutral Volts/ Amps/ watts 208/39/8000 Dimensions L19" x W11" x H8" Weight 22 lbs. For questions concerning this post please contact; Randy(541) 936-6341 Randy.hicks@meillc.com
Imtec Accubath Dual 200mm Quartz Recirc Heated Process Tank
Includes quartz dispersion plate and stand offs FRPP Housing Power cord Previously used for Sulfuric and Peroxide processes Completely rinsed to PH neutral Dimensions L27-3/4" x W12-7/8" x H16" Weight 49 lbs. Call for Electrical requirements For questions concerning this post please contact; Randy(541) 936-6341 Randy.hicks@meillc.com
WATLOW TLM-8 Anafaze 310DDDDDDDD (Lot of 6)
WATLOW TLM-8 Anafaze 310DDDDDDDD(Lot of 6) 1.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28b0g%60%60%3E1-140a6079817-0xf2-
Dual 200mm PVDF Recirculated Process Rinse Tank w/ Auto-Lid
Water actuated lid open/close cylinder Fluid dispersion plate Previously used for HCL acid and H2O2 processing Completely rinsed to PH neutral Dimensions L26" x W17" x H19" Weight 49 lbs. For questions concerning this post please contact; Randy(541) 936-6341
Applied Materials Excite
Missing the load station Otherwise the tool is intact. Good for parts
Wentworth Labs PML8000 Wafer Prober w/ Signatone S-725-SLM Micropositioner
C10002. Wentworth Laboratories Model PML8000 Wafer Prober with Signatone Model S-725-SLM Micropositioner- 8 inch vacuum chuck- Mitutoyo Microscope with WF10x/24 Eyepieces- Objectives are Mitutoyo APO 2. 10, 20 and SL100. Please review all the pictures. They are part of the description. We will load at no charge on a truck you send and pay for. If you do not have a freight carrier we can provide a freight quote. We combine shipments whenever possible. Not responsible for customs charges,
silicon wafer - Partially processed R3010 MIPS FPU circa 1989
A very rare 6 inch wafer this R3010 was only partially finished for some reason. The color is yellowish green. The metal traces and R3010 part number can be clearly seen under the microscope.
silicon wafer - 6 inch Pink with etched devices
For sale one pink 6 inch wafer. Covered with etched devices. The dark pink color is beautiful and difficult to capture with the camera.
silicon wafer - 6 inch with iridescent test devices
For sale one iridescent 6 inch wafer. Covered with various test patterns. Really colorful from just about any angle. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d71f%2B0a7%3E-140e47773c4-0xf7-
Eichhorn+Hausmann In-line Capacitive Wafer Thickness Gauge AZ-152
This unit was removed from a decommissioned operating production solar silicon wafer sorter. A Hennecke type He-WI-03, where it was used for a 3-position inline measurement tool for calculating wafer thickness and TTV(total thickness variation) Final package dimensions and weight(32x16x16in and 25lbs) are subject to some variation, but used to calculate the shipping you pay. This listing consists of: Model AZ 152 Eichhorn+Hausmann thickness gauge(predecessor to the MX152. At) utilizing 3 pair(6 total) high sensitivity capacitive distance sensors with integrated LED wafer presence sensor- 1 pair center-line. 2 outer pairs are width adjustable via belt-linked guide rail- guide rail stepper motor. Nanotec model SH4009L1206-B with GPLL40-49 gear case(motor driver card not included) optical wafer presence sensor- capacitive prox sensor on adjustment ... morerail to set measurement width- heavy-duty aluminum mounting frame with Teflon machined wafer guides ADDI-DATA APCI-3120 Computer Data Acquisition Card( 16 Single-ended/8 differential inputs. 16-bit 8/4 analog outputs. 14-bit Optical isolation of inputs and outputs. 500 V PCI DMA. Programmable gain Trigger functions 8 digital I/O. 24 V, optically isolated, timer Full details are available at the ADDI website. Along with Windows/Linux drivers for integration to your own application ADDI-DATA PX901-AG Breakout Board(37-pin female) 37-pin cabling from card to breakout board Three(3) 25-pin Male Breakout boards with Two(2) 25-pin serial extension cables
Ebara Cool Head
Used but great condition. Cool head. similar with Cryo
AMAT 0010-01349 Seriplex w/ 0190-35651 Control Bus
AMAT 0010-01349 Seriplex w/ 0190-35651 Control Bus Back Plane Assb. Used. Removed from service in full working condition.
Chemical Mixing Station Microtech Wet Bench Hood - Liquidation
Chemical Mixing Station Microtech Wet Bench Hood. Microtech Polypro single station Chem Mixing Station and Dispensing Hood. Power 208vac,80a,5060hz,SCCR5ka,3 phase 5wire, With/ 2) Tanks, 3) Rise Lake Solvent Scales, Foot Print 11'W X 4'D X 8'H. SN# 1781B. New cost was $200,000 + and unit was used less than one year. Comes with integrated computer, and monitors. Excellent condition. More pictures. Video, and details are available at: http:www.luckyequipment.com/microtech-wet-bench-hood.html For questions. Contact David at: 702) 238-2076, or email at: david@luckyequipment.com
Regine Wafer Processing Tweezers 91SA Made in Switzerland
Regine 91SA wafer tweezer Excellent used condition SWISS MADE PRECISION INSTRUMENTS 100% MANUFACTURED IN SWITZERLAND info@regine.ch www.regine.ch ANTIMAGNETIC. ANTI-ACID STEEL(SA) Material number 1.4435(AISI 316L) COMPOSITION C Si Mn P S Cr Mo Ni Wt% ≤ 0.030 ≤ 1 ≤ 2 ≤ 0.045 ≤ 0.03 17-19 2.5-3.0 12.5-15 MECHANICAL PROPERTIES AT AMBIENT TEMPERATURE State Annealed(Solution Heat Treated) Hardness rockwell HB30 ≤ 215 Tensile strength(N/mm 2) 500-700 0.2% Yield stress(N/mm 2) 200 Elongation after fracture(L o = 5d o) ≥ 40% PHYSICAL PROPERTIES Density(20 °C) Kg/dm 3 8 Specific heat(20 °C) J/g• K) 0.50 Heat conduction(20 °C) W/K• m) 15 Electric resistance(20 °C) Ω• mm 2)m 0.75 Modulus of elasticity(20 °C) KN/mm 2 200
LEYBOLD MAG2000CT TURBO PUMP / SR:20900868425
Sell for parts only. Wear of Minor scratched. can spin if you push,Remove from working invironment, Please check our actual photograph attached Sell AS-IT AS-IS, FOR PARTS OR REPAIR ONLY UNIT NET.WET: 53KGS STIMATED IN PACKAGED IN CARTOON W/ WOOD 58KGS BIDDING W/ NO RESERVER PRICE We Accepted Bank Transfer Please contact, Expensive item i can Ship only to Special Shipper. The item pack and safety during shipping Guarranty If you have prepered shipping on this item please contact, If my shipping cost. You feel Expensive, we Accept SHIP by your Account. DHL& FEDEX) HAPPY BIDDING TO ALL Please check my other item in our ebay online store Thank you
Fortrend F-3350 Wafer Transfer System
This auction is for a used Fortrend Wafer Transfer System. Model number F-3350. We are selling this Fortrend Wafer Transfer System. Model number F-3350, in As-Is/Where-Is condition with no warranty or representations either expressed or implied. If you don't see it in the photos, you won't get it. Please ask questions or indicate concerns prior to bidding. This system looks to be complete but we are not knowledgeable about Fortrend Wafer Transfer Systems. We have not checked it out or tested it- so we do not know is condition. By purchasing this item you agree to all stated terms. All auction items are sold as advertised, As-Is/Where-Is without any warranty or representations either expressed or implied, unless stated in the item description. This item is available for inspection at our 40,000 square foot facility just outside Atlanta, ... moreGA. Packaging and/or crating services available onsite. Prior to sale. Buyer will contact seller to determine how the item will be packaged and shipped. The agreed to pricing for packaging and crating will be added to the listing prior to the purchase. For all California. Georgia, and Florida ship-to locations sales tax is required by law. Please contact seller for sales tax rates in any of these 3 states prior to purchasing this item.
MRC Eclipse Metal Sputter System 3 chambers,
MRC was fully operational prior to being shutdown in 2010. System is currently installed in lab. Ti. TiN and Al/cu configuration. Spare parts and shields included. Buyer is responsible for crating and shipping cost. multiple crates will be required. We can help secure craters and riggers if needed. Please feel free to call with questions 360-834-8738. Sale tax applies to Washington and California buyers. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf54%3E-1412782cd42-0xfd-
Varian 3120 Horizontal Evaporator, 2 Varian S-Guns, 100mm wafer tooling, pump
Normal 0 For Sale: Varian 3120 evaporator with horizontal chamber. I purchased this as it is a couple of years ago. And due to economic changes, ended up not needing it. I never ever hooked it up. It looks like most of the cables are there to hook it up. Here is what I know about it: 3 100mm planets. Fixture heater, and fixture drive 2 Varian S guns. Installed, looks like aluminum was being shot) standard Varian high vacuum diffusion pump. Water cooled Alcatel roughing pump. don't know condition of) Liquid N2 cold trap Inficon single head thickness monitor/controller XMS-1 Varian T/C and 2 Ion gauges 2 Varian S gun controllers and power supply's Varian master programmer and I/O expander flow control. Accessory control, process control, smart gauge leak detector 208-220v 3 phase 70A 29" ID bell jar This unit is being sold. as ... moreis, where is" with no warranty expressed or implied. Any repairs will be the responsibility of the buyer. Shipping: This item will go by freight at the buyers arrangement and expense. There will be a small pallet charge of $25 per pallet and this item will require 2. I will load it on your truck for free. Buyer will receive the actual unit shown in the pictures. Item does include 3 manuals. Volumes 1 through 3. Note: Sorry no international buyers. Continental US buyers only! All items for sale are described to the best of our knowledge and belief. Please do not assume that accessories that are not shown are present or the condition of the item is other than described or pictured. Any questions please feel free to ask. Shipping& Handling: We ship via UPS ground to the lower 48 states. Unless otherwise noted. Items normally ship in 4 days or less once payment is made. But freight items may require additional time. If you are the winning bidder. Please request a total dollar amount before paying. A handling charge may be required to cover boxing or palleting expenses, but is usually a modest amount and will be stated in the listing. Large or heavy items to ship by freight w
Fluidix PFA Heat Exhanger with Mixing-Valve Temp. Control System PLC 1086lbs/hr
Wicked Cool- Fluidix Used PFA Heat Exhanger with Mixing-Valve Temperature Control System Can be used for heating acids and other aggressive chemicals Steam Controlled with a touch screen display 110V Platinum RTD
125 mm square solar wafer storage box+ 25 slot polyprop carrier boat $25
125x125 mm solar cell storage box and polypropylene solar cell carrier with 25 slots. The price listed is for box and carrier as shown in picture.
Electrim Corp HiRes 950102
Original HiRes 950102 board from Electrim corp. Used with machine vision systems and telescopic equipment. Other sellers are asking $495+. So this is a real steal! But.you must have the correct Electrim camera head for this card. It will not work with just any camera head. We do not have the camera head. Nor any info on which models it does or does not work with. Please check your equipment carefully. More detailed pics available upon request. Powered by The free listing tool. List your items fast and easy and manage your active items.
Trebor Low Flow High Purity Pump Model 110 with Exhaust Muffler
You are bidding on: Trebor Low Flow High Purity Pump Model 110 with Exhaust Muffler JL5-3 Condition: Used. Please Note the following: 1. 14 days warranty. 2. I f you have any questions regarding this item. Please contact me_before_ bidding. Please check shipping and handling via shipping calculator. Expedited shipping can be arranged upon request. Other Notes of Interest Shipments: All items will ship within 1-2 days of payment receipt.
MACTRONIX INC, TBN-300, wafer flat finder, setup for EBS-3-76-25, (lot of 2)
Absolute TF3A6A MACTRONIX INC. TBN-300, wafer flat finder, setup for EBS-3-76-25, lot of 2) ABSOLUTE has used its best efforts to inspect the item(s) for sale. Create descriptions and furnish photographs that fairly and adequately depict the visual condition of the item at the time of sale. We will performance test items within the realm of our technical expertise. Some items may fall outside of that realm. Absolute makes no expressed or implied warranties or representations regarding the condition or suitability of the goods and to the extent allowed by law, specifically disclaims any expressed or implied warranties, including but not limited to any warranty of merchantability or fitness for purpose. Therefore Absolute offers its customer’s a 14-day DOA warranty on all its listings with the exception of items listed for parts or repair. ... moreThey are non-returnable. All items are to be paid for in U.S. dollars(NO Exceptions) at the end of the auction or time of order unless a separate agreement has been made before hand. Within one business day of the end of auction or your order placement you will be contacted via email about the items you purchased and the total amount due.
Wafer handling tweezers , EMS, exclelta, Sipel, 78414-44WF, 591SA., 500B teflon
Absolute TF3A6A Wafer handling tweezers. EMS, exclelta, Sipel, 78414-44WF, 591 SA. 500B teflon coated Very nice undamaged tweezers You get 3 tweezers. 1 ea. EMS 78414-44WF 1ea. 591 SA 1ea. 500B teflon coated. ABSOLUTE has used its best efforts to inspect the item(s) for sale. Create descriptions and furnish photographs that fairly and adequately depict the visual condition of the item at the time of sale. We will performance test items within the realm of our technical expertise. Some items may fall outside of that realm. Absolute makes no expressed or implied warranties or representations regarding the condition or suitability of the goods and to the extent allowed by law, specifically disclaims any expressed or implied warranties, including but not limited to any warranty of merchantability or fitness for purpose. Therefore Absolute offers ... moreits customer’s a 14-day DOA warranty on all its listings with the exception of items listed for parts or repair. They are non-returnable. All items are to be paid for in U.S. dollars(NO Exceptions) at the end of the auction or time of order unless a separate agreement has been made before hand. Within one business day of the end of auction or your order placement you will be contacted via email about the items you purchased and the total amount due.
wafer dispenser , WDL-99, open front , hinged top
Absolute TF3A7top wafer dispenser. WDL-99, open front, hinged top ABSOLUTE has used its best efforts to inspect the item(s) for sale. Create descriptions and furnish photographs that fairly and adequately depict the visual condition of the item at the time of sale. We will performance test items within the realm of our technical expertise. Some items may fall outside of that realm. Absolute makes no expressed or implied warranties or representations regarding the condition or suitability of the goods and to the extent allowed by law, specifically disclaims any expressed or implied warranties, including but not limited to any warranty of merchantability or fitness for purpose. Therefore Absolute offers its customer’s a 14-day DOA warranty on all its listings with the exception of items listed for parts or repair. They are non-returnable. All ... moreitems are to be paid for in U.S. dollars(NO Exceptions) at the end of the auction or time of order unless a separate agreement has been made before hand. Within one business day of the end of auction or your order placement you will be contacted via email about the items you purchased and the total amount due.
Plasma-Therm 790 RIE Plasma Etching System
Plasma-Therm 790 RIE Plasma Etching System Inventory# 58421*Before purchasing this system. Please note that the refurbishment process does not begin until the equipment has been purchased. Please contact us for lead-time. Plasma Etching System. PC controller. Compact size. Shower head process gas distribution over wafer. Platen accepts up 200mm wafer. RF5S 500W 13.56 MHz RF generator with matching network. Currently configured with three MFC gas controllers. Turbo pump and roughing pump. 208V. 3 Ph, 60 Hz. Can be sold in untested as-is condition. Or fully checked out and refurbished by one of our technicians, with our standard right to return and 3 month parts warranty. Please contact us directly for as-is pricing. Please contact us for additional information on this system. Including lead-time, or for any questions via eBay mail or at phone# ... more732) 863-9500. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Please contact us to discuss suitable payment arrangements. BID SERVICE has been meeting the equipment needs of the Semiconductor and Scientific communities for the past 30 years. Our expert refurbishing services. Demonstration capabilities, and broad selection of inventory add up to value for our customers.
Tokyo Electron TRIAS Complete Metal CDV Wafer Fab System w/ 3 - FOUP Loaders
Item up for sale is a: Tokyo Electron TRIAS Complete Metal CDV Wafer Fab System w/ 3- FOUP Loaders This unit was operational when the unit was removed from service due to a lease expiration. It has been very well package and has been stored in a environmentally sound warehouse for the past year. Will consider all offers. Call Terry at 408-762-7211 for additional pictures. If you would like to make a site visit, or if you have any questions. The system is crated on 10 pallets and ready to ship. Will work with you to arrange freight shipment to your location. Price does not include shipping. Here is what is included: QTY-3 FOUP Front Loaders Transfer Module Front Interface Chamber E Rack Power Distribution Rack Transformer UPS System If you have any questions regarding this item please feel free to contact me and I will do my best to answer ... moreyour questions Picture represents the actual item. This is a freight shipment. Weight and size of pallets to be given at time of sale Included is only what is mentioned. ============================================================================ Terms of Sale Payment Options Contact us for payment methods. Bank transfers or payments using a Cashier’s Check may be available. Contact us for additional information and fees. RETURNS Item sold as-is. No refunds. No returns. SHIPPING To be arranged at the time of purchase. Shipping cost is responsibility of buyer. International Shipping Limitations Export controlled items require certificate of usage to be filled out for review to be in compliance with Export Administration regulations. Diversion contrary to US Law prohibited. You will be contacted if the item you purchased has export restrictions and requires additional documentation to ship. You are responsible to completely fill out the documentation and return it before your shipment will be considered. Incomplete forms or forms returned with information where a determination or how the product is going to be used. Or the final destination of the item cannot be determined, the
RFPP LF-5 500 Watt RF Generator
RFPP LF-5 500 Watt RF Generator 50 to 460 KHZ RF 500 Watts Untested. Right of return. Quoted shipping price is for lower 48 states US customers only. Ships Fedex ground. Logan Technologies. LP 254-773-4070
Drytek/Lam Research ESC Power Supply Control Box
Lam Research ESC Power Supply. Part# 853-250758-001-1-221 Part was removed from Lam 9500 A-4 Module. Also used on Drytek ASIQ tool. Board will ship Fedx ground. So please included your phone# Quoted shipping price is for lower 48 states US customers only. We'll ship worldwide. But shipping cost will be determined at the time of purchase. Logan Technologies. LP 254-773-4070
Whitman Controls W117V-3H-C5L-XPressure Switch/Sensor. Lot of 4
Whitman Controls Pressure Switch/Sensor. VCR Lot of 4 W117V-3B-C52L-x 5A 250VAC Adjustable Set It will ship Fedx ground. So please provide a phone# Shipping price quoted is for lower 48 states US Customers only. Available for inspection. Logan Technologies. LP 254-773-4070 g.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bjw%60hquvg%3Eg-14152466b8b-0xfd-
Developer Stainless Steel Canister, Two Gallons
Two Gallon Stainless Steel Canister. Previously used for Semiconductor Develope Fluids 22" Tall Ships Fedex Ground. Quoted shipping price is for lower 48 states US customers only. Logan Technologies. LP 254-773-4070
NTA Industries - Isolation Table
This auction is for a used NTA Industries- Isolation Table consisting of: NTA Industries Isolation Table. Stainless Steel; Dimensions: 48" x 30" x 30" We are selling this NTA Industries Isolation Table As-Is/Where-Is condition with no warranty or representations either expressed or implied. If you don't see it in the photos. You won't get it. Please ask questions or indicate concerns prior to bidding. This system looks to be complete but we are not knowledgeable about this NTA Industries- Isolation Tables. We have not checked it out or tested it- so we do not know is condition. By purchasing this item you agree to all stated terms. All auction items are sold as advertised, As-Is/Where-Is without any warranty or representations either expressed or implied, unless stated in the item description. This item is available ... morefor inspection at our 40,000 square foot facility just outside Atlanta, GA. Packaging and/or crating services available onsite. Prior to sale. Buyer will contact seller to determine how the item will be packaged and shipped. The agreed to pricing for packaging and crating will be added to the listing prior to the purchase. For the following states: CA. GA, MI, PA, WA, and NC ship-to locations sales tax is required by law. Please contact seller for sales tax rates in any of these 3 states prior to purchasing this item. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d71f%2B0a7%3E-14152466b30-0xfc-
Televac MM200 Vacuum Gauge
This auction is for a used Televac MM200 Vacuum Gauge consisting of: Televac MM200 W/ Dual Display; Half Rack; Microprocessor-Based; Includes Cable; Replaces the Numerous Instruments Previously Required for different Functions and Ranges; Possible configurations include various combinations of Ionization. Thermocouple, Convection, Diaphragm, Capacitance Manometer, and Set Point Relay Modules; Assignments of up to 8 Set Point Relays Controlled from the Front Panel are Individually Programmable; Ionization Gauge can be turned on Manually or Automatically; MM 200 Modular Gauge is the most flexible solution to vacuum measurement and control. We are selling this Televac MM200 Vacuum Gauge As-Is/Where-Is condition with no warranty or representations either expressed or implied. If you don't see it in the photos. You won't get it. Please ... moreask questions or indicate concerns prior to bidding. This system looks to be complete but we are not knowledgeable about Televac MM200 Vacuum Gauges. We have not checked it out or tested it- so we do not know is condition. By purchasing this item you agree to all stated terms. All auction items are sold as advertised, As-Is/Where-Is without any warranty or representations either expressed or implied, unless stated in the item description. This item is available for inspection at our 40,000 square foot facility just outside Atlanta, GA. Packaging and/or crating services available onsite. Prior to sale. Buyer will contact seller to determine how the item will be packaged and shipped. The agreed to pricing for packaging and crating will be added to the listing prior to the purchase. For the following states: CA. GA, MI, PA, WA, and NC ship-to locations sales tax is required by law. Please contact seller for sales tax rates in any of these 3 states prior to purchasing this item.
SemiGas Dual Gas Cabinet
This auction is for a used SemiGas Dual Gas Cabinet consisting of: Semi Gas Dual Gas Cabinet: Holds 2 Tanks; Left Side is for SIH2Cl2; Right Side is for Nitrogen; Purge System for SIH2Cl2; Purge System for Nitrogen; Gas Safety Monitor. Model: GSM-1A We are selling this SemiGas Dual Gas Cabinet As-Is/Where-Is condition with no warranty or representations either expressed or implied. If you don't see it in the photos. You won't get it. Please ask questions or indicate concerns prior to bidding. This system looks to be complete but we are not knowledgeable about SemiGas Dual Gas Cabinets. We have not checked it out or tested it- so we do not know is condition. By purchasing this item you agree to all stated terms. All auction items are sold as advertised, As-Is/Where-Is without any warranty or representations either expressed or implied, ... moreunless stated in the item description. This item is available for inspection at our 40,000 square foot facility just outside Atlanta, GA. Packaging and/or crating services available onsite. Prior to sale. Buyer will contact seller to determine how the item will be packaged and shipped. The agreed to pricing for packaging and crating will be added to the listing prior to the purchase. For the following states: CA. GA, MI, PA, WA, and NC ship-to locations sales tax is required by law. Please contact seller for sales tax rates in any of these 3 states prior to purchasing this item.
APPLIED MATERIALS TI PEDESTAL 002-23275
APPLIED MATERIALS TI PEDISTAL PART#0020-23275 ITEM IS SOLD AS IS. Minor wear and tear item. Free shipping in the USA 3c0.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d71f%2B%3E3c0-14157545be8-0xfe-
APPLIED MATERIALS BOX,GAS AMO,DXZ P500 0021-09760
APPLIED MATERIALS BOX.GAS AMO,DXZ P5000 PART#0021-09760 ITEM IS SOLD AS IS. Local shipping in the USA. International Shipping is buyers responsibility
APPLIED MATERIALS ADAPTER PUMPING RING 0020-30287
APPLIED MATERIALS ADAPTER PUMPING RING PART#0020-30287 ITEM SOLD AS IS. Local shipping free.
Pacific Western Systems P5NMS PSC Semi-Auto Wafer Probing Inspection Station #1
Search our eBay Store! Pacific Western Systems P5NMS PSC Semi-Auto Wafer Probing Inspection Station#1 SKU: JV-BIN-C-WAFERINSPECT Condition: For parts or not working Packaging: Pallet/Skid Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge New Page 1 Pacific Western Systems PWS P5NMS PSC Semi-Auto Wafer Probing Inspection Station*FOR PARTS&OR REPAIR* Model: P5NMS. Listing Includes: 1x Pacific Western Systems P5NMS Wafer Probing Inspection Station 1x Wesco US-601 Stereomicroscope with SH20X/12.5 Eyepieces 1x Union ET201-6B Light Source 1x ViewSonic E70f Monitor 1x Rigel Systems TSQ1045C Unit 1x Pacific Western Systems P5DMS ... moreProbe II Unit Several Miscellaneous Boards/Units(SEE PHOTO) Physical Condition: Good- Scuffs/scratches on the unit. Minor nicks/dents can be found throughout the unit. Sticker remnant and adhesive residue can be found on the unit. Connection ports are in good condition. The screen on the monitor has some scratches but visibility is not hindered. Internal and external components appear to be in good condition. The bottom front panel covers fall off easily from the unit. Functionality/Degree of Testing: Most of the units that are attached to the station power on while others are untested. Caster wheels roll smoothly. We do not have the necessary resources to further test this unit. Some units have power cable while other do not. Dimensions(L" W" H" 40* 50* 64 ¾ BEING SOLD AS-IS FOR PARTS OR REPAIR. THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, w
SCHUMACHER 1464-0326 ATCS-15TLC 1462-0141K ABU TLC ABSOLUTE Temperature Control
SCHUMACHER 1464-0326 ATCS-15TLC 1462-0141K ABU TLC ABSOLUTE Temperature Control System Does not power up* Selling as/is with no warranty. SELLING AS/IS WITH NO WARRANTY Powered by The free listing tool. List your items fast and easy and manage your active items.
!!! Neat Z axis Stage Positioner & Vacuum Wafer Chuck !!!
Up for auction is New England Affiliated Technologies precision Z axis. Vertical stage. The stage includes a stepper motor, Kaman Instrumentation SMU 9000-15n and a vacuum wafer chuck(~8.4 inch diameter) Condition is excellent. Comes as shown in the picture. AUCTION IS ONLY FOR U.S. BIDDERS Pay Pal is preferred payment method. Most economical shipping method if not otherwise specified. Confirm your delivery address after auction. Items are exactly as described(SEE FEEDBACK) so there are absolutely no returns.PLEASE ask questions before bidding. Items will ship after Payment Clears!
HPS301NB NEW WAVE RESEARCH MINILASE I 10hz W/ LASERHEAD,POWER SUPPLY,PEDAL&CONTR
HPS301NB NEW WAVE RESEARCH MINILASE I 10hz W/ LASERHEAD. POWER SUPPLY,PEDAL&CONTROLLER Used. Working, power up test done only, no further testing or equiptize to this unit, I lack of knowledge to made the formal test or don't know how to Operate this kind of machine. Excellent cosmetic condition look like has not been in USED unit, there is no scratches from any connector port being not Used, wear of minor scratched from the handling. Please check the actual photograph i attached, We SOLD AS-IS,AS-IT or I sell for Repair or for Parts unit. AC POWER CORED INCLUDED. Ps: Missing Cable/ Connector from Controller to Power supply This unit is heavy. Please contact if you have prepered shipping/ Shipping by your Account If there is any Question beside on this unit. Do not hesitate to contact US. i will reply you in 24hours. INTERNATIONAL ... moreBUYER: Import duties. Taxes, and charges are not included in the item price or shipping cost. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying.
EQUIPE PRI AUTOMATION ROBOT AND CONTROLLER ATM-105-2 + ESC-200 WITH CABLES
EQUIPE PRI AUTOMATION ROBOT AND CONTROLLER ROBOT: MODEL:ATM-105-2/ MFG. DATE: 12/00 CONTROLLER: MODEL: ESC-200/ MFG. DATE 12/00/ REV. 4.0 WITH 2 CABLES THAT CONNECT ROBOT TO CONTROLLER UNITS WERE REMOVED FROM A FUNCTIONAL UNIT BUT ME NEED TO BE CALIBRATED THESE ARE IN GOOD PHYSICAL AND OPERATIONAL CONDITION PLEASE MESSAGE US WITH ANY QUESTIONS ERICH MEHRMANN/ 781-335-1220 3c0.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d71f%2B%3E3c0-1417e2481a3-0xfe-
Seiko-Seiki Turbo Molecular Pump Model STP-300H
Unit is a Seiko-Seiki STP-300H turbo molecular pump. SKU 12499 A handling charge has been added for this item. Based on 28 years of experience shipping fragile scientific equipment. We feel special care is necessary for the shipment of your purchase. Please feel free to contact us at 781-871-8868 if you have any questions. To our international customers: We only take Paypal if you are verified. If not you must send a wire transfer and there is an additional $35 usd fee. Import duties. Taxes, and charges are not included in the item price or shipping cost. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying. Customs fees are normally charged by the shipping company or collected when you pick the item up. These fees ... moreare not additional shipping charges. We won't under-value merchandise or mark the item as a gift on customs forms. Doing that is against U.S. and international laws. PLEASE NOTE. We are selling this item as pictured. Any parts or accessories not displayed in the photo are not included in this sale. Please review both the picture and description. Feel free to ask questions and request additional information prior to purchase. All sales are final. Thank you for visiting our BMI Surplus. Inc. Store.
AMD 80486 dies 6" silicon wafer
For sale one Advanced Micro Devices 80486 CPU wafer. This was manufactured in 1993 and has very nice color. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf54%3E-1417e44a8de-0x103-
Canon Extended reticle library. FPA 3000 Canon EX series, i4, i5, i5+, i5
53074 For sale is a Canon Extended Reticle library for the Canon FPA 3000 and also compatible with the EX Series. Pulled from working tools. Winning bidder will pay freight and crating charges. Please ask if you have questions. Thanks for looking and happy bidding! Manufacturer: Canon Model: FPA 3000 series extended reticle library Type: extended reticle library Version: 6 inch Condition: excellent Vintage: Jun 1998 Sale condition: as is where is Quantity: 1 Comments: Extended reticle library. Compatible with Canon EX series. I4, i5, i5+, i5++
X Y Z Rotation Stage (Theta Stage) of Oriel Mask Aligner (Model # 83210)
Normal 0 false false false EN-US ZH-CN X-NONE X/ Y/ Z/ Rotation Stage of Oriel Photolithography Mask Aligner(Model# 83210) The top plate is hinged in the back and accepts a 7” square photolithographic mask. The bracket that secures a mask is no longer with the stage(masks not included) The lower plate has a vacuum hold down for the substrate being exposed(substrates not included) The lower plate has three micrometer translators that enable adjustment in X and Y directions as well as rotation via tilt. A Z-adjustment positioner adjusts the height of the lower plate. There is a barbed fitting to apply vacuum between the mask and wafer for hard vacuum contact. Can be used as an aligner for photolithographic mask alignment as well as for screen or stencil printing Construction is black anodized aluminum.
Micromanipulator 6200 Wafer Probe Station Manual Prober Bausch & Lomb Microscope
Template By Froo! Micromanipulator 6200 Wafer Probe Station Manual Prober Bausch& Lomb Microscope· WHAT IS IT? This listing is for(1) used Micromanipulator 6200 Manual Prober/ Wafer Probe Station with Bausch& Lomb microscope. 20x W.F. eye pieces, 2.25, 8 and 25X objectives, Fiberlite#170-D illuminator, Micromanipulator MUC and 7000-SSA Stage, and 2#4446 Slimline Micro Positioners. This sale includes these listed components ONLY. No other unseen or unmentioned parts or accessories will be included. DOES IT WORK? T he prober has not been tested and may not be a complete system. This means that it is being sold for parts or repair with the understanding that additional parts. Calibration or repairs will be required. HOW’S IT LOOK? Fair. Older machine with cosmetic scuffs and blemishes(see photos) CAN I RETURN IT? No. Returns will ... morebot be accepted. Please bid accordingly. Please read the terms below for more information on our store. Policies, and details specific to this listing. Join Our Tharitis Newsletter! About Us Welcome to our online surplus outlet of used test& measurement equipment. Where our goal is to offer you the best prices on overstocked lab and manufacturing products. Our inventory changes daily, so please visit our store often. We pride ourselves in customer satisfaction. So please don't hesitate to contact us directly with your questions or concerns. We can be contacted Monday- Friday, 8am- 5pm PST at(760)806-6600. WE BUY SURPLUS TEST EQUIPMENT- MESSAGE US FOR DETAILS* Payment Our ordering system is fully automated and powered by eBay and PayPal Secure Servers. If you purchase an item through our official store your payment is due immediately upon checkout. Item(s) are also available for sale through channels other than eBay and from time to time may be sold before the eBay auction ends. In which case we reserve the right to end the auction early. We will accept PayPal. Company Check, Personal Check, Bank Check or Major Credit Card. All funds must be in US Dollars and unsec
NESLAB HX150 Recirculating Water Chiller REFURBISHED
17869 Up for sale is this excellent condition Neslab HX-150 Water Cooled Chiller This Chiller has been REFURBISHED and is offered in excellent. As-is condition. No Reasonable offer refused! Thanks for looking and happy bidding! Manufacturer: NESLAB Model: HX150 Type: Recirculating Water Chiller Version: Condition: excellent Sale condition: as is where is Quantity: 1 Comments: w/Analog Temp Ctlr. Water Cooled, REFURBISHED;1?RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2B%3E%3B1%3F-14180bb614d-0x105-
Ion Tech Sourcerer Ion Miller/(CHA Mark 40 Series)
Manufacturer: Ion Tech. 26"L x 26" Diameter chamber. Inficon IC4 thickness monitor. Dual ION Tech 5cm ION guns. Pfiefer tph 2000 turbo pump. Rebuilt two stage direct drive Ebara dry pump. The refurbished Ion Tech Sourcerer Ion Miller/CHA Mark 40 Series) comes with a 30 day warranty. Extended warranties are available for an additional cost) NOTE: we are offering this unit refurbished but we are open for best offers If interested in the Ion Tech Sourcerer Ion Miller/CHA Mark 40 Series) machine As Is Unrefurbished. Please contact us for more info
Rotor A182-39mu-0215 for Semitool STI Spin Rinser Dryer SRD , Quick Disconnect
Semitool Rotor A182-39mu-0215 9 3/4" Backing Plate Beautiful condition In stock. Immediate shipment
Quater XYZ 300TR Wafer Probing Micro Positioner
This is a Quater XYZ 300TR Wafer Probing Micro Pisitioner. It is used but was removed from a working environment. Please see and enlarge photos for additional details. Any questions. Please ask. Thanks for looking. PA residents add 6% sales tax. Should you have any problems after receiving an item. Please contact us prior to leaving feedback so that we may have an opportunity to resolve any issue you may have.
25x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished
Search our eBay Store! 25x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished SKU: JV-MAT-C-USEDLOT25CT Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge 25x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished Model: 99-00629-01 Physical Condition: Good. No visible damage to wafers. Package Dimensions(L" x W" x H" 3.5*6.375*3.75 Wafer Dimensions: 3”x0.5mm THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. ... moreFood and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us before leaving feedback or opening a case. Let us save you time& aggravation by showing
Deltronic Crystal Industries 6275 Lithium Niobate Wafer 3”x.25mm LiNbO3 Optics
Search our eBay Store! Deltronic Crystal Industries 6275 Lithium Niobate Wafer 3”x.25mm LiNbO3 Optics SKU: JV-MAT-C-25MM6275NI Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Deltronic Crystal Industries 6275 Lithium Niobate Wafer 3”x.25mm LiNbO3 Optics Model: 6275 Physical Condition: Good. No visible damage to wafer. Functionality/Degree of Testing: We do not have the necessary resources to properly test this unit. Package Dimensions(L" x W" x H" 3.5*3.5*0.5 Wafer Dimensions: 3”x0.25mm THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET MANAGEMENT. INC. MILPITAS. CA ... more95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us befo
AMAT Quantum Gas Card 9010-01381 201630 Celerity working
This AMAT Celerity gas card 9010-01381 is used working surplus. It was removed from an AMAT Quantum Ion implanter gas cabinet. The physical condition is good and clean. But there may be minor scratches from handling. Celerity. Model# 201630 AMAT. Part# 9010-01381 Condition: Working. 90 Day Warranty. Estimated Packed Shipping Dimensions: L x W x H = 20"x20"x20" 23 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction ... moreGuarantee(See Details Below) Lister AZ# Can't find the answer you're looking for? contact us! Office Hours: 8:00 am to 5:00 pm MST Monday to Friday We are closed on Weekends and all major US holidays. Email Address: sales@usedeqsales.com Phone Number: 505-345-8732, Fax Number: 505-345-8730, Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged or palletized and shipped via the best and most eco
8x Deltronic Crystal 9126 Stoichiometric Lithium Niobate Wafers 20x20x.5mm
Search our eBay Store! 8x Deltronic Crystal 9126 Stoichiometric Lithium Niobate Wafers 20x20x.5mm SKU: JV-MAT-C-SQUARE9126 Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge 8x Deltronic Crystal 9126 Stoichiometric Lithium Niobate Wafers 20x20x.5mm Model: 9126 Physical Condition: Good. No visible damage to wafers. Functionality/Degree of Testing: We do not have the necessary resources to properly test these units. Package Dimensions(L" x W" x H" 2.5*3*1 Wafer Dimensions: 20x20x0.5mm THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The ... moresale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us before leav