Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
467.49
Buy It Now
$14.00 Shipping
Condition: Used
Location: Mesa, United States
(MWHALL11 D).
$
1750.00
Buy It Now
$35.00 Shipping
Condition: Used
Location: Killeen, United States
$
100.00
Buy It Now
$13.70 Shipping
Condition: Used
Location: Saint Paul, United States
$
290.00
Buy It Now
$100.00 Shipping
Condition: Used
Location: Ireland
Wafer Handler Interrupt PCB. RTX No. 901220.
$
362.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: 200mm Wafer Handler. This Nikon 200mm Wafer Handler Assembly is used working surplus. The physical condition is good, but there are signs of ... moreprevious use and handling. Motor Part No: 1.8°/STEP.
$
16.75
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Stockton, United States
$
4875.56
Buy It Now
Condition: Used
Location: Buda, United States
Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson at getsparesllc. ... more(See Hours of Operation, above). REQUIRED BY US LAW.
$
300.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
SVG/ ASML 851-8514-007 Wafer Handler Interrupt Card SVG/ ASML PN: 851-8514-007 Wafer Handler Interrupt Card
$
300.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is fair, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary.
$
200.00
Buy It Now
$18.70 Shipping
Condition: Used
Location: Plano, United States
NEW RORZE robot wafer handler RR701L1211-3A3-111-1. With controller CURR-1480-0 and data sheet. Cable and sensor, we sell as is We describe the items ... moreand the condition as best as we can. If there are any questions or you need more info, please contact us and we will reply the same day. No other accessory are being sold with the item(Cables, manuals, Software, etc. unless it is mentioned in the description and shown in the picture. We do not have the capability to test these equipment. These are un-tested and we sell as is. see pictures for more detail. Farmon Inc. sell all equipment with no warranty expressed or implied regardless of condition. Farmon Inc. don’t have capability to verify this equipment. All sales are"As is and Where is" and are final with no returns or exchanges permitted. Farmon Inc. invites you to inspect products prior to purchase please email us at info@farmoninc.com or call us at 510-573-0047 to schedule a time. Please read our rules before buying our products. Buyer responsible for all shipping and handling costs. Including any applicable insurance, taxes, tariffs or duties. Buyer pays actual shipping charges using your UPS or FedEx account. There will be a $0 handling fee for US and $0 for international for this item. Please allow 5 business days for us to ship after payment is received! All Buyers are welcome to come and inspection the equipment before purchasing them. Please email or contact us. Buyer must sign shipping confirmation when item delivered. All sales are Final and No return are Accepted. We are also interested to buy your unwanted excess inventory. Please call us to review and remove your inventory. We can sometimes do in the same day.
$
300.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is fair, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary.
$
472.78
Buy It Now
$13.82 Shipping
Condition: Used
Location: Buda, United States
SN: 83139,TW79359. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole ... moreJackson at getsparesllc. (See Hours of Operation, above).
$
254.55
Buy It Now
$7.52 Shipping
Condition: Used
Location: Buda, United States
SVG/ ASML 851-8514-007 Wafer Handler Interrupt Card SVG/ ASML PN: 851-8514-007 Wafer Handler Interrupt Card
$
250.00
Buy It Now
$11.70 Shipping
Condition: New
Location: Gilbert, United States
AMAT 0020-76010 Pivot Wafer Cassette Handler . Condition is New. Quantity 5 price is for each
$
812.50
Buy It Now
$125.50 Shipping
Condition: Used
Location: Billings, United States
$
8500.00
Buy It Now
Condition: For parts or not working
Location: Palo Alto, United States
AMAT 200MM ENDURA Wafer Handler. Applied Materials AMAT FABS-202 Wafer Handler.
$
200.00
Buy It Now
$11.70 Shipping
Condition: Used
Location: Milpitas, United States
Search our eBay Store! Ceramic Robot Arm End Effector Wafer Handler 310mm SKU: JV-RYA-B-CER310ARMZ Condition: Used Packaging: OEM Warranty: 30-Day DOA ... moreWarranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Ceramic Robot Arm End Effector Wafer Handler 310mm. Material: Ceramic. The Manufacturer and Model of this unit are unknown. Physical Condition: Good We do not have the necessary resources in our facility to test this unit. No pads or accessories are included. Dimensions(L"W"H" 13* 8 ½* 1/16 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us
$
660.00
Buy It Now
$29.59 Shipping
Condition: New – Open box
Location: Schenectady, United States
FV-30 100 Vacuum Wand Wafer Handler. 153F PCTFE fixed joint wafer tip- 12” wafer. It is not tested. We have many available so you may not receive the ... moreone photoed but they are all in similar condition.
$
7200.68
Buy It Now
$102.04 Shipping
Condition: Used
Location: Boise, United States
Five-one-two-nine-two-eight-five-five-five-three Corporate Office. (See Hours of Operation, above). REQUIRED BY US LAW. Zhòngyào de! Rúguǒ méiyǒu zhèxiē ... morexìnxī, tā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ yāoqiú.
$
3504.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: PR300Z Robot Assembly. Copper Exposed. Serial numbers or country ... moreof manufacture may vary. These products are subject to export restrictions under U.S. law.
$
4829.80
Buy It Now
$450.00 Shipping
Condition: Seller refurbished
Location: Cedar City, United States
$
2541.18
Buy It Now
$450.00 Shipping
Condition: For parts or not working
Location: Cedar City, United States
Genmark Gencobot 8/3L Small Robotic Wafer Handler GPR-MT-2000.
$
6750.68
Buy It Now
$131.06 Shipping
Condition: Used
Location: Boise, United States
SN: 94604-5,B72533. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole ... moreJackson at getsparesllc. (See Hours of Operation, above).
$
100.00
Buy It Now
$18.70 Shipping
Condition: Used
Location: Plano, United States
$
2027.40
Buy It Now
$14.20 Shipping
Condition: Used
Location: Buda, United States
We do repairs. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Novellus C-1.
$
3999.00
Buy It Now
Condition: New – Open box
Location: Hayward, United States
$
9999.99
Buy It Now
Condition: For parts or not working
Location: United States
Recif VMT8 Vertical Transfer Wafer Sorter. Model Number: VMT8. Specifications are from Recif and may vary slightly due to upgrades, options, or revisions ... morethis unit may or may not have. Vacuum free vertical transfer.
$
1850.99
Buy It Now
$389.77 Shipping
Condition: Used
Location: Santa Clara, United States
The first unite was tested with 4” wafer cassette no wafers and no vacuum. ONE OF THE UNITS DOESN'T HAVE ONE SIDE COVER.
$
679.99
Buy It Now
Free Shipping
Condition: Used
Location: Boise, United States
We have thousands of s emiconductor fab parts and assemblies in our inventory. All pictures are of actual item for sale.
$
8550.56
Buy It Now
$52.96 Shipping
Condition: Used
Location: Buda, United States
(See Hours of Operation, above). REQUIRED BY US LAW. Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 ... more电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
7500.00
Buy It Now
$79.10 Shipping
Condition: Used
Location: Buda, United States
Search our eBay Store! Genmark Gencobot 4/3L Classic Wafer Handler Transfer 3-Link Robot Arm PARTS SKU: JV-NIC-HANFERT Condition: For parts or not working ... morePackaging: OEM Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Genmark Automation Gencobot 4/3L Classic Wafer Handler Transfer 3-Link Robot Arm*PARTS* Type: 4/3L Classic. Physical Condition: Good; Minor scuffs/scratches. Unit has some marks/stains on its plastic display cover(SEE PHOTOS) Power cable is not included. We do not have the necessary resources to further test this unit. Dimensions(L" x W" x H" 14 3/16* 15 ¾* 29 3/8. Extended Arm Length: 30 ¼” BEING SOLD AS-IS FOR PARTS OR REPAIR. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don&
$
6250.56
Buy It Now
$45.12 Shipping
Condition: Used
Location: Boise, United States
SN173731060003,TWA-08240. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. ... moreGasonics L3510 Gasonics PEP-3510. Nicole Jackson at getsparesllc.
$
299.00
Buy It Now
$26.30 Shipping
Condition: New
Location: Tyngsboro, United States
This is a unused Brooks Automation ceramic wafer robot handler end effector, PN 163044.
$
100.00
Buy It Now
$18.70 Shipping
Condition: Used
Location: Plano, United States
$
500.00
Buy It Now
$20.00 Shipping
Condition: Used
Location: San Jose, United States
For sale one rare good pair of 2 Theta Radial motor for Tencor Prometrix H2 robot handler pre-aligner . Item is tested , good working , like new .OEM ... morepart Applied Motion 5017-15 .Specs : Nema 17 (42x42mm) , 7.9VDC , 0.323A, 24.5 ohm , 400S/RThey are hard to find spare part for repair UV1050 UV1250 series Tencor Prometrix Wafer Thin film system H2 robot handler pre-aligner .
$
350.00
Buy It Now
$22.78 Shipping
Condition: Used
Location: Plano, United States
$
350.00
Buy It Now
$22.78 Shipping
Condition: Used
Location: Plano, United States
$
450.00
Buy It Now
Free Shipping
Condition: Used
Location: Israel
The pictures that you see are the real pictures of one the item, i.e., “what you see is what you get”. The item looks in good condition.
$
7998.56
Buy It Now
Condition: Seller refurbished
Location: Buda, United States
SN: R960798. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson ... moreat getsparesllc. (See Hours of Operation, above).
$
549.99
Buy It Now
Free Shipping
Condition: Used
Location: Boise, United States
$
7500.68
Buy It Now
Condition: Used
Location: Boise, United States
Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Gasonics L3510 Gasonics PEP-3510. Nicole Jackson at getsparesllc. (See Hours of Operation, ... moreabove). REQUIRED BY US LAW. Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù.
$
3750.00
Buy It Now
$127.77 Shipping
Condition: Used
Location: Santa Clara, United States
RECIF Technologies SPPA40A40007 Wafer Handler Assembly Robot,,,.
$
639.99
Buy It Now
Condition: Used
Location: Leander, United States
(1) Samick WTR3I15 System. Model: WTR3I15. Manufacturer:Samick THK. Manufacturer: Samick THK. Notes:We are not able to fully test this module. The wafer ... moreblade extends and the top rotates, both easily with light hand pressure.
$
1249.99
Buy It Now
$220.74 Shipping
Condition: Used
Location: Phoenix, United States
Nikon NWL-640 Wafer Loader for 100 mm, 125 mm, and 150 mm Wafer Sizes. The units power up but we do not have expertise to test them in full. None of them ... morehave the wafer holder. Wafer Extraction Procedure: Designated pocket.
$
350.00
Buy It Now
$22.78 Shipping
Condition: Used
Location: Plano, United States
$
150.00
Buy It Now
$9.95 Shipping
Condition: New
Location: Tolleson, United States
Condition is New.
$
200.00
Buy It Now
$11.70 Shipping
Condition: Used
Location: Milpitas, United States
Farmon I.D. 421564. Fastcom Faulhaber Federal Pioneer Federal Products Co. Futaba Futurestar Galil Motion GaSonics Gast GasTech Gaston Gates GC Valves ... moreGD California GE Fanuc GE General Electric GE Industrial Services Gems Sensors GEMU General Monitors General Precision General Scanning Genie Industries Genmark Automation GenRad Genwac Georg Fischer Geotest Gespac GF Signet Glassman Glentek Global Laser Gluton West Go Inc.Gould GPI General Precision GPI General Precision Inc.
$
865.00
Buy It Now
$29.59 Shipping
Condition: New – Open box
Location: Schenectady, United States
FV-30 100 Vacuum Wand Wafer Handler. VP-15 Vespel wafer tip fixed joint – 12” wafer. It is not tested. We have many available so you may not receive the ... moreone photoed but they are all in similar condition.
$
8099.99
Buy It Now
$201.54 Shipping
Condition: Used
Location: Phoenix, United States
You are Bidding on a used Genmark Robot 4S0807210 Wafer Handler w/controller Robot: 4S0807210 Controller: 9800109151 Part Number PA4120685 2 Robot brackets ... morew/ball screw VTWGB4S Cables Returns If you receive your item. And it is significantly different than described, please contact us, and we will arrange a full refund or product exchange. We must receive the returned item(s) within(10 days) of original delivery. Items should be returned in the original packaging whenever possible. If this is not possible. The item should be packed to meet the carrier's insurable packaging specifications. Typically this requires a sturdy cardboard box with at least 2" of padding on all 6 sides. AS IS Items that are sold"AS IS" are not eligible for refunds or exchanges of any kind. As long as the item shipped is the correct item listed. Of course. Feedback We are happy to leave positive feedback as soon as your on-time payment clears. And we appreciate your positive feedback if your transaction is satisfactory. Remember, we are always willing to work with you on any problems you may experience, so please contact us before leaving negative feedback. If you are unfamiliar with the feedback system, please check out eBay's. Non-Paying Bidders Items that are not paid for within one week(7 days) of auction close with no contact or payment arrangement will be added back into our inventory. And an eBay non-paying-bidder claim will be filed. Sales Tax We charge sales tax on all of our customers in CA unless proper exclusion documentation is provided California Resale Certificate. Local Pickup Local pickup is welcome and is actually our preferred method of getting items to customers. Pickup hours are Monday-Friday 8am-4pm PST. Please email or call ahead for an appointment. Our physical address is: WastErasers: 1710 Little Orchard St: San Jose. CA 95125: United States Privacy Policy Any personal information a customer provides us is used STRICTLY for internal purposes. We will not. Under any circumstances, divulge a cu
$
125.00
Buy It Now
$11.70 Shipping
Condition: New – Open box
Location: Milpitas, United States
Farmon I.D.417072. Fastcom Faulhaber Federal Pioneer Federal Products Co. Futaba Futurestar Galil Motion GaSonics Gast GasTech Gaston Gates GC Valves ... moreGD California GE Fanuc GE General Electric GE Industrial Services Gems Sensors GEMU General Monitors General Precision General Scanning Genie Industries Genmark Automation GenRad Genwac Georg Fischer Geotest Gespac GF Signet Glassman Glentek Global Laser Gluton West Go Inc.Gould GPI General Precision GPI General Precision Inc.
$
5500.00
Buy It Now
Condition: Used
Location: Schenectady, United States
Quality Surplus. Green Impact. HVAC & Refrigeration. Everything included is shown in the photos. If there are multiple available for sale you may not ... morereceive the one photoed, but they are all in similar condition.
$
169.99
Buy It Now
$85.00 Shipping
Condition: For parts or not working
Location: Avondale, United States
Nikon NWL-640 Microscope Wafer Loader/Handler 100,125,150 mm 4", 5", 6". see pictures for more details thank you for bidding
$
507.10
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
This RECIF Technologies ECUA00002 is used untested surplus and is being sold as-is. The physical condition is good and there are visible signs of previous ... moreuse and handling. Part No: ECUA00002. Item Condition: Untested Surplus, Sold As-Is.
$
755.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a Rudolph Technologies F30 300mm Wafer Mounter & Defect ... moreInspection System. Serial numbers or country of manufacture may vary.
$
95.00
Buy It Now
$32.55 Shipping
Condition: Used
Location: Milpitas, United States
Farmon I.D.419906. IBM IC Electronics ICP Electronics Inc. ICS IDEC IDL Semiconductor IDX IEE IGC Polycold System Inc. Imtec Acculine IN USA INA InBus ... moreEngineering Industrial Computers Inficon Infranor Innova Electronics Integrated Circuit Dev.
$
250.00
Buy It Now
$20.74 Shipping
Condition: New
Location: Milpitas, United States
Farmon I.D. 413871. Fastcom Faulhaber Federal Pioneer Federal Products Co. Futaba Futurestar Galil Motion GaSonics Gast GasTech Gaston Gates GC Valves ... moreGD California GE Fanuc GE General Electric GE Industrial Services Gems Sensors GEMU General Monitors General Precision General Scanning Genie Industries Genmark Automation GenRad Genwac Georg Fischer Geotest Gespac GF Signet Glassman Glentek Global Laser Gluton West Go Inc.Gould GPI General Precision GPI General Precision Inc.
$
8650.82
Buy It Now
$13.82 Shipping
Condition: Used
Location: Buda, United States
Brooks/Equipe/PRI (For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. SN: V22675A, TW30124. Five-one-two-nine-two-eight-five-five-five-three ... moreCorporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. ).
$
1799.00
Buy It Now
$24.75 Shipping
Condition: New – Open box
Location: San Jose, United States
Manufacturer :Kawasaki. This Unit is located in San Jose Ca.
$
1500.00
Buy It Now
$599.00 Shipping
Condition: Used
Location: Singapore
Cancellation Fees. Local GST for Singapore Sales: 9%.
$
2999.99
Buy It Now
$900.00 Shipping
Condition: Used
Location: Boise, United States
All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold for parts.
1 2 3
 In 

Former Listings  
 
Ceramic Robot Arm End Effector Wafer Handler 310mm
Search our eBay Store! Ceramic Robot Arm End Effector Wafer Handler 310mm SKU: JV-RYA-B-CER310ARMZ Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Ceramic Robot Arm End Effector Wafer Handler 310mm. Material: Ceramic. The Manufacturer and Model of this unit are unknown. Physical Condition: Good We do not have the necessary resources in our facility to test this unit. No pads or accessories are included. Dimensions(L"W"H" 13* 8 ½* 1/16 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. ... moreCA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us
Cybeq Systems Wafer Handler Assembly w/ Airpax/Oriental Stepper Motor CY0020
Questions? Call us: 1-877-328-9236. Cybeq Systems Wafer Handler Assembly w/ Airpax/Oriental Stepper Motor CY0020. Manufacturer: Cybeq Systems Model: Various Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Cybeq Systems Condition: Used. Comes in non-original packaging. It has scuffs and scratches from normal use. Notes: This item was not tested since we do not have the proper resources. We do not have any specifications on this item and we are not familiar with this type of equipment. So please refer to the pictures for any helpful information. No manuals, cords/cables, or additional items are included if not listed or shown. Included: 1) Cybeq Systems Wafer Handler Assembly, Specifications: Manufacturer: Cybeq Systems, Model: Various, Vexta& Airpax Stepping/Stepper Motor, SKU: M23L029 For ... morequestions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. DougDeals does not ship on buyer accounts or allow the buyer to arrange shipping. Shipment must be arranged throu
Mactronix STM-600 Wafer Handler Processor Slide Transfer Module Assembly
Search our eBay Store! Mactronix STM-600 Wafer Handler Processor Slide Transfer Module Assembly SKU: JV-MAT-A-MACTRONIXSTM Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Mactronix STM-600 Wafer Handler Processor Slide Transfer Module Assembly P/N: STM-600 Physical Condition: Good. Minor scuffs/scratches and signs of use. Functionality/Degree of Testing: We do not have the necessary resources to properly test this unit. Dimensions(L" x W" x H" 8.25*23*7 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. ... moreINC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, ple
Brooks Automation Fixload 6 300mm Wafer Load Port Transfer Handler 013096-369-20
Search our eBay Store! Brooks Automation Fixload 6 300mm Wafer Load Port Transfer Handler 013096-369-20 SKU: JV-HAN-C-50P24VBROOKS Condition: For parts or not working Packaging: Pallet/Skid Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Brooks Automation Fixload 6 300mm Wafer Load Port Transfer Handler 013096-369-20. P/N: 013096-369-20. Type: Fixload 6. Subtype: 50-pin. Nominal Voltage: 24 V DC. Full Load Current at 24 V DC: Compressor Air: Max 1.0MPa. Vacuum: 40 kPa. Tüv Rheinland SEMI S2 Certified. Physical Condition: Good- Minor scuffs/scratches on exterior. Functionality/Degree of Testing: We do not have the ... morenecessary resources to test this unit. Power adapter is not included. Dimensions(L" x W" x H" 18⅛ x 22½ x 54½ BEING SOLD AS-IS FOR PARTS OR REPAIR. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to
Lot of 4 Wafer Cassettes/Carrier Handler 300mm 25 Slot
SEARCH OUR PRODUCTS Lot of 4 Wafer Cassettes/Carrier Handler 300mm 25 Slot Product Description You are bidding on a used Lot of 4 Wafer Cassettes. Cosmetically they are in good condition but we have no way of testing it. Three of the cassettes have 25 slots and the other has 15. These cassettes are for 300mm wafers. We do not know the brand as they have no markings. The photos are of the actual items the winning bidder will receive. Only what is pictured is included. SKU: K06E021 Warranty Information The item/s in this auction are being sold AS-IS with no warranty. No refunds, no returns. Please bid accordingly. Contact Info Business Hours- toll free: 877) 328-9236- local: 512) 933-0081- fax: 512)873-0745 Monday to Friday from 8:30 am to 5:30 pm CST Closed on Saturday and Sunday Company Policies PayPal Must ship to a confirmed address, Visa/MasterCard/Discover/Amex ... moreUS and Canadian issued cards only. Must ship to the billing address of the credit card. Orders over $1.000 require a signed. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. We do not allow the buyer to arrange their own shipping. Shipment must be arranged through us and will be prepaid to us by the buyer. The buyer is responsible for any customs. Duties, taxes, broker fees, etc that are incurred. We do not know what these fees are and have no way to calculate them. You will need to speak to your local customs office if you have questions about these fees. All UPS Standard to Canada shipments incur UPS brokerage fees. Some items shipped UPS Expedited/Express receive free brokerage services. We strongly recommend the buyer contact UPS to find what the fees are prior to purchase. We will not mark items as gifts. We mark the item price as the declared value. The item/s in this listing are being sold AS-IS(NO warranty) There are no returns. Refu
RORZE RR304L120 ATM WAFER TRANSFER HANDLER ROBOT DNS DAINIPPON SCREEN ELECTRONIC
Powered by Frooition Pro Shop Search. Delete this and add your own html code. Delete this and add your own html code. Click to close full size. Item Description. This listing is for a used RORZE RR304L120 ATM WAFER TRANSFER HANDLER ROBOT. Serial No. R970530 Item is in good physical condition with a few cosmetic scratches. Only the item in the picture is what you are bidding on. No other accessories included. Delete this and add your own html code. About Us We provide new and used surplus parts and tools utilized in the manufacturing of Semiconductors. We are able to provide items such as RF Generators. Vacuum Valves, Turbo Pumps, DC Power Supplies, Photolithography parts, Chillers, Mass Flow related items, and much more. You can find items from OEMs such as Applied Materials, Lam Research, TEL, Neslab, CTI Cryogenics, Leybold, Varian, and ... moremore. Many of our items are new OEM surplus, we also have many items that have been refurbished, and other items that are used Payment Policy Our primary method of payment is paypal. However we(at our discretion) accept Purchase Orders, Master Card, Visa, AMEX, and Discover. In addition we accept wire transfers, company check. We are pretty flexible with payments, however purchases made with personal and/or company check may be delayed while funds are verified. Contact us with any questions or concerns. Shipping Policy Once again in our efforts to accomodate our customers' needs. We provice flexible shipping options. Even some that are not noted in the listing. We attempt to ship same day in which payment is confirmed. If you desire expedited shipping email us before 2pm CST and we will do our best to meet your requirements. We will also ship on your shipping account, just make notation upon order placement. Any items that we require additional handling that is not noted in the listing will be a the customers cost. On occassion, we charge a $25 handling fee for excess packaging but this is rare and we will always obatin approval prior. Crating and specialized skidding will b
Empak 300mm Wafer Handler Carrier FOUP HS300 XS300
SEARCH OUR PRODUCTS Empak 300mm Wafer Handler Carrier FOUP HS300 XS300 Product Description This is a used Empak 300mm Wafer Handler Carrier. This item is in good overall physical condition. It has some minor scratches along with some sticker residue. The photos are of a typical item. Only what is pictured is included. Description: 300mm CrystalPak Entegris/ HS300 lid and base with a XS300 Cassette SKU: J37E007 Warranty Information The item/s in this auction are being sold AS-IS with no warranty. No refunds, no returns. Please bid accordingly. Contact Info Business Hours- toll free: 877) 328-9236- local: 512) 933-0081- fax: 512)873-0745 Monday to Friday from 8:30 am to 5:30 pm CST Closed on Saturday and Sunday Company Policies PayPal Must ship to a confirmed address, Visa/MasterCard/Discover/Amex US and Canadian issued cards only. Must ship ... moreto the billing address of the credit card. Orders over $1.000 require a signed. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. We do not allow the buyer to arrange their own shipping. Shipment must be arranged through us and will be prepaid to us by the buyer. The buyer is responsible for any customs. Duties, taxes, broker fees, etc that are incurred. We do not know what these fees are and have no way to calculate them. You will need to speak to your local customs office if you have questions about these fees. All UPS Standard to Canada shipments incur UPS brokerage fees. Some items shipped UPS Expedited/Express receive free brokerage services. We strongly recommend the buyer contact UPS to find what the fees are prior to purchase. We will not mark items as gifts. We mark the item price as the declared value. The item/s in this listing are being sold AS-IS(NO warranty) There are no returns. Refunds, or exchanges. If you are not comfortable buying something withou
Tazmo ULS Wafer Transfer Robot Handler Handling Station w/Power Supply
Search our eBay Store! Tazmo ULS Wafer Transfer Robot Handler Handling Station w/Power Supply SKU: JV-DAN-C-ULS2AXWTHPS Condition: For parts or not working Packaging: Pallet/Skid Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge New Page 1 Tazmo ULS Wafer Transfer Robot Handling Station w/Power Supply PLEASE NOTE: THIS UNIT APPEARS TO BE INCOMPLETE. BEING SOLD AS-IS FOR PARTS OR REPAIR. Model: ULS 100VAC; 5A; 50/60Hz; 1PH. Physical Condition: Unit is in good physical condition. Cosmetic scuffs/scratches/dings to protective casing on the lower front panel. Panels are missing a few screws. All other panels are in great condition. ... moreTransfer arm is in excellent condition. We do not have the necessary resources to test this unit. Dimensions(L" x W" x H" 21 7/8 x 37 ¼ x 47 ¼ Weight: 168 lbs. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all
PRI Brooks ABM-407C-1-S-CE-S293 Robot Wafer Handler / Transfer Equipe / Warranty
Payment Policy Brand New Sony CyberShot 8 MP Di PRI Brooks ABM-407C-1-S-CE-S293 Robot Wafer Handler/ Transfer Equipe/ Warranty Attention All Buyers If there is anything wrong with the item that you have purchased. Please do not leave negative feedback and do not open any disputes with eBay or PayPal. Just contact us directly and we will fix the problem quickly. Item Description PRI Brooks ABM-407C-1-S-CE-S293 Robot Wafer Handler/ Transfer Equipe/ Warranty Used Condition PRI Brooks Automation Wafer Handling/ Transfer Robot Model: ABM-407C-1-S-CE-S293 Serial No. ABM-30852 Mfg Date: June. 2002 Equipe Technologies/ PRI Brooks Single Arm Wafer handler/ Transfer Robot. Clean Cosmetic Condition, With Robot Hand Finger and Laser Sensor Assembly Inventory Number: 3D63038-12-12 Item Condition Used Condition Actual Picture of the item is provided. What ... moreyou see in the picture(s) is what you get Note: In Quantity Auctions. The serial number of the item that you receive may vary from the item shown above. Payment Policy 1) PayPal: We accept PayPal from all verified accounts. Note: For large payments or payments from some foreign countries we may require other electronic means of payment. 2) Credit Cards: We accept credit card payments through PayPal only. 3) Checkout: All US buyers should follow eBay checkout process to receive the proper invoice. International buyers should contact us to for an update on the shipping costs before they can follow eBay checkout. 4) Sales Tax: Californian buyers pay a Sales Tax of 8.625% unless a valid Resale Certificate or Tax exemption Certificate number is remitted by email or fax prior to submitting payment. 5) Checkout: An automated Non-Paying Bidder Alert will be filed if payment is not received in 4 days from the end of the auction. 6) Questions: For any questions. Please contact us directly from the auction page click on our ID(supertechshop) and then click on(Contact Seller) link. Shipping& Handling Policy 1) Handling Time: We ship packages in 1 business day after receiving
RORZE wafer handler robot RR732L2732-452-101-1
RORZE wafer handler robot RR732L2732-452-101-1 with curr-3930-5 controller with robot cable TESTED WORKING CONDITION Information Condittion used a+++++++++. This unit is fully tested prior to shipping Shipment We will ship your order within 2-3 business days via post airmail. It will usually take 10-14 business days after shipment. Return Policy We carefully inspect the item before shipment. In case you found a defect on the purchase. We will exchange or refund it Contact Us We are willing to respond to your inquiry If you have a question or problem.Please contact us first. It is the fastest way to settle your concern or issue.
NOVA WAFER HANDLER CONTROLLER 210-46000-00
NOVA WAFER HANDLER CONTROLLER 210-46000-00 This unit is used and in good physical condition. It was pulled from a working tool. We do not have the technical expertise to further test the unit and hence we are selling it on an"AS IS BASIS" Interested buyers please contact me for an estimate of the shipping costs We ship worldwide Airmail delivery takes 10-14 days Thank you for visiting My Store | Add us to your Favorite Sellers list | Contact Us
Kensington Wafer Handler Robot Model# 25-3700-1425-07 s/n 00-640-15AT
Kensington Wafer Handler Robot Model# 25-3700-1425-07 s/n 00-640-15AT Please contact us for more information. Thanks for looking. PLEASE NOTE: INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES
ASYST Wafer IsoPort 9700-9129-01 Wafer Handler
ASYST Wafer IsoPort MODEL: 9700-9129-01 Wafer Handler SOLD AS IS! NO RETURN! NO REFUND! NO ARRANTY! PLEASE. LOOK IN PICTURES. YOU GET EXACTLY WHAT YOU SEE.
Genmark Automation Transfer Robot 2LA0797220 GPR Wafer Handler
Item up for sale is a: Genmark Automation Transfer Robot Model 2LA0797220 GPR Wafer Handler*Features: Fast. Accurate, repeatable transportaioin of wafers, and photmasks. Extended reach and vertical ravel combination Rotation motion range DSP-based motion controller for vibration- free wafer transport Mutli-segment continuous path cotrol Optional scanning feature with fast and slow scanning mode High precision wafer handling robot that offers superior performance at an affordable price. Identical in size to Genmark Automation's popular GB4 Robot. It features many design enhancements that result in improved robot performance and reliability. Engineered for single or dual wafer transport, the GB4S robot is available in various reach and vertical stroke combinations. Genmark Automation's Robot used in conjunction with the RPA-0 Remote ... morePre-aligner, provides a highly accurate, highly repeatable pre-alignment and transport system at a very competitive package price for a variety of wafers and photomasks. Genmark Automation has developed innovative approaches for handling thin wafers(down to 100 microns) Specially designed end-effector paddles. Pre-aligner chucks, lifting pins, along with dedicated motion control software guarantee safe material handling overcoming increased wafer sag and fragility. The option of a flipping end-effector is also available. This item is NOT tested unless otherwise noted. If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. 150 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. Payment options: PayPal only or contact us for payment methods to pay on pickup. Warranty for US shipments: 14 days testing period unless the auction specified as final sale. Buyer must return the product back to the company in a timely manner. Refund upon
Asyst 300 mm EFEM Wafer Handler SMIF-300FL
Asyst 300 mm EFEM wafer handling system. Previously part of an Applied Materials Inspection tool. Tool was recently taken off the line. System includes pre-aligner. Load ports, end effector, etc. Full manufacturer manuals and documentation provided. ag14.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*bd%3Eag14-14016067686-0xff-
2 Each, Empak 130mm 25 Slot Wafer Handler Carrier F10130-06
2 Each. Empak 130mm 25 Slot Wafer Handler Carrier F10130-06 Please refer closely to all photos before purchasing. Used. Wafer Handler Carrier by Empak. Model F10130-06, 130 mm size, 25 Slot, Removeable top and bottom. These units are in good condition. They have seen some use, but have no cracks. Thanks for looking- we appreciate your business! Please check out on eBay. Please Read: Other than what's displayed in the images accompanying this listing or mentioned in the description above. There are no manuals, instructions, additional parts or accessories. Unless otherwise stated in the description. The images show the ACTUAL or an ACCURATE REPRESENTATION of item that you are bidding on. All images are photographed by us and are the exclusive property of TELEVISIONARY Communications and/or The Reuseum. Use of any material in this advertising ... moreis prohibited without express permission. Unless otherwise stated above. This item is sold strictly"AS IS, with no warranty. We TRULY& HONESTLY try to represent our auction items to the best of our abilities. Please understand that we are sometimes unfamiliar with an item. That said. You might notice times that the description is very brief or nonexistent. To compensate for our lack of expertise we start prices very low, with the intent that you might be interested in the item for its parts and AS IS condition. Please take this in consideration when bidding on our auctions. We try to take weekends off in order to preserve our marriages. If this auction is scheduled to end during the weekend. We'll be in contact with you on Monday. If you have technical questions, or need shipping quote information about this or any of our other auctions, please try to ask them by Friday morning. Any technical or shipping questions received over the weekend most likely will not be answered before the close of the auction. Shipping Information: Bidders outside the Continental U.S. including bidders in Alaska. Hawaii, Puerto Rico& our friends in Canada) MUST contact us to det
Mactronix NUD-800 Wafer Handler Assembly UNIT
Mactronix NUD-800 Wafer Handler Assembly UNIT Description: Thank you for your interest! We are auctioning off this Mactronix NUD-800 Wafer Handler Assembly UNIT. Acquired from government surplus. As you know with most government agencies. When a new grant comes in, they have to justify the grant by buying new equipment leaving very useful equipment for surplus. COMES AS SHOWN! Some cosmetic wear. Missing one foor pad on bottom. Doesnt power up. USA CUSTOMERS- NO MEANS TO TEST. May have other unknown issues. Sold as is for parts or repair! INTERNATIONAL CUSTOMERS- NO MEANS TO TEST. SOLD AS IS WITH NO GUARANTEES. 1. All equipment is sold-as-is. We are surplus equipment dealers and do not have the ability or knowledge to test equipment for functionality beyond plugging it in and describing what we observe as best we can. 2. All statements regarding ... moreproducts and their configurations are made to the best of our ability and with the assumption that the buyer is knowledgeable and proficient in the use of this type of equipment. 3.We have no knowledge of the history of the equipment. Due to the nature of used and surplus equipment no guarantees or warranties either expressed or implied are offered unless specifically noted in the description. 4. NO REFUNDS OR RETURNS will be allowed. No exceptions unless offered in the description. 5. No manuals.NO STANDARD POWER CORDS, accessories, software etc are included unless pictured and noted in the description. 6. It is the bidder's responsibility to understand that the terms of the auction. The nature of equipment offered and bid accordingly. Shipping: BUYER TO PAY SHIPPING IN THE US. Alaska& Hawaii will be more! INTERNATIONAL BIDDERS WELCOMED-PLEASE CONTACT US FOR ACCURATE SHIPPING CHARGES Payment: Gladly accept most forms of payment including paypal click to Enlarge click to Enlarge inkfrog terapeak. I000000. InkFrog Analytics.
Yaskawa Wafer handler robot
Yaskawa Wafer handling robot- This is a pull form working equipment. I think it came from a NIKON 206 machine. It has been stored with the shipping brackets on it and will be securely packed for shipping. The upper part is the arm. The lower part is a lifting base. Yaskawa YSBL-CHA. C/N 206, SN# S63085-1-4, date 1997.5. Yaskawa YSAR-CZB. C/N 206, SN# S63085-2-4, date 1997.5.
Axcelis Fusion Systems 61991 Wafer Handler I/F Board
Axcelis Fusion Systems 61991 Wafer Handler I/F Board Has general signs of normal wear and tear which may include scratches. Scuffs, dirt, sticker residue etc on the exterior casing. PLEASE REFER TO PICTURES. Unit does not come with accessories unless noted in pictures. Axcelis Fusion Systems 61991 Wafer Handler I/F Board Condition: Used and untested Estimated Packed Shipping Weight: ~ 2 lbs Notice Regarding Freight: Please wait for an invoice with shipping charges. Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 14-Day Satisfaction Guarantee(See Details Below) Lister: LR Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 4:00 pm(PST) ... moreMonday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: Will-Call Hours: 8:00 am to 3:00 pm(PST) Monday to Friday 8:00AM- 12:00PM(PST) 12:30PM- 3:30PM(PST) Monday to Friday. Email Address: Contact via eBay messaging system Phone: 408-762-7287, Fax: 408-283-9004, Physical/Mailing Address: Prism Electronics Corp. 18305 Sutter Blvd. Morgan Hill. CA 95037 USA Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards Through PayPal. And Bank Wire Transfers in USD(There is a $25 wire transfer fee) Will-Call items must be paid in full by PayPal or cash with exact change on pickup. We cannot process the order until we receive tax from shipping address within California or a copy of your California Resale Certificate A 8.75% Sales Tax applies to all purchases in CALIFORNIA. All items will be securely packaged or palletized and shipped via the best and most economical way possible. We typically ship within 1 business day however. Under certain circumstances please al
Kensington CSMT-3 multi-station Wafer Handler and Sorter Maps Merges Splits
Kensington Laboratories CSMT-3 multi-station Wafer Handler and Sorter Excellent working used condition. Actual item pictured. CSMT-3. Multi-Station Wafer Handler& Sorter Manufacture: Kensington Model# CSMT-3 Description: Multi-Station Wafer Handler and Sorter for 150mm Spesifications: 3 Stations: Maps/ Sorts/ Merges/ Splits/ Randomizes OCR System Electropolished Stainless Cabinet Surpasses Class 1 Requirements: Tilting Ergonomic Carriers Noncontact Optical Wafer Prealigner for Flats/ Notches Touchscreen Color Graphical User Interface Power& Vacuum Failsafe Backup Will ship this on a pallet. Email or call us at 916-225-3615 for quote or arrange your own freight service. Notice: Before purchase. Please be sure to check correct item type/model needed. Terms& Conditions PAYMENT: Full payment is due within 3 days. Preferred payment ... moremethod is PayPal. We do accept card payment at 916-225-3615. We may process your payment as per your organizations policy if needed. For specific payment needs or company invoice please email or call us at 916-225-3615. International Buyers: We do ship all items worldwide. Email us if you need a shipping quote or have any questions. We can ship via USPS, UPS or FedEx, if you prefer for us to use your ups/FedEx account number please provide your account# upon purchase. Make sure your shipping address is correct and a phone number included. Customs and duty fees and/or taxes are the buyer's responsibility. SHIPPING: We will ship your item(s) within 1-2 business days after receipt of a cleared payment. We may combine shipping if the items fit in the same package. If you wish to use your shipping service or shipping account# please let us know upon purchase. Notify us if you have any special shipping/handling requests. We ship with USPS UPS and FedEx. RETURN POLICY: We offer a 14-day return on all products. If item arrives damaged or not as described or due to any error on our part, we will refund the full amount with ship charges both ways. If buyer decides to return an item fo
ACT Stack to Cassette wafer Handler / Cassette Loader Custom Made
76185 ACT Stack to Cassette wafer handler/ Cassette Loader. Currently setup to handle 156mm square wafers. For solar cell mfg. We have the Automation& Control manual, dated 20 April 2007. This tool was part of our 10Mw solar line and was in working condition prior to de-install. Currently located in Boerne, TX warehouse, and inspection is possible. Selling as-is, where-is. Ask if you have questions. Thanks for looking and happy bidding! Manufacturer: ACT Model: Stack to Cassette Type: Wafer Handler/ Cassette Loader Version: 200MM Condition: excellent Vintage: Apr 2007 Sale condition: as is where is Quantity: 1 Comments: Custom Made Stack to Cassette ACT Wafer Handler(Cassette Loader) Currently setup to handle 156mm square wafers. For solar cells Automation& Control Technology manual dated 20 April 2007 Power: AC 230V Single Phase ... more50Hz 15A with touch screen control Custom made to handle 156mm square wafers for solar cell mfg. Selling as-is. Where-is, located in our Boerne, TX warehouse and currently crated and ready to ship.
Faith Rapitran Micro 425130 25-Wafer Manual/Automatic Handler Transfer Station
Search our eBay Store! Faith Rapitran Micro 425130 25-Wafer Manual/Automatic Handler Transfer Station SKU: JV-JIM-C-RAPIMICRO Condition: Used Packaging: OEM Warranty: 30 day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are only included if pictured or listed in description. If in doubt, please contact us before purchasing. Click an Image to Enlarge Faith Rapitran Micro 425130 25- Wafer Transfer Handler Model: 425130 Physical Condition: Good; some scratches and scuffs. Minor scratches on pusher. Unit powers on. LED display works. Indicators work(manual” reset” automatic” Start indicator lights up. Able to manually operate pusher and combs We do not have the necessary resources to further test this unit. Power cable is not included. Dimensions(L"W"H" ... more13 3/8* 10* 24 5/8 Manufacturer's Description(For Informational Purposes Only) The Micro is used to transfer 25 wafers between cassettes and/or an open bottom boat. The wafers are lifted up out of the first cassette and held in the combs while the operator changes to the new cassette. Then the wafers are lowered into the second cassette or boat. At only 10 inches(225mm) wide the Micro can fit almost anywhere. All parts. Including accessories and cables are only in cluded if pictured or listed in the description. All pictures are taken in house. If you require more information. Please contact the manufacturer and/or research online. As a large liquidator, we do not carry additional information beyond what has been provided to us above. This FDA DISCLAIMER is for MEDICAL DEVICES only and not for all our products: The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. D0 N0T bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been us
Genmark Automation Gencobot GB4 Classic Wafer Handler Transfer Robot Blade Cable
This listing is for a Genmark Robot. Blade, and Interface Cable Gencobot 4 Classic Used in excellent conditio
Genmark GPR Series Gencobot 7/3L Wafer Robot Handler
This is a Genmark GPR Series Gencobot 7/3L Wafer Robot Handler removed from working environment and in good working order. Included is only what is visible in photos. PLEASE READ THE ENTIRE LISTING BEFORE BIDDING OR YOUR PURCHASE MAY BE CANCELLED. ALL INTERNATIONAL BIDDERS MUST REQUEST A SHIPPING QUOTE BEFORE BIDDING. DUE TO THE NUMBER OF SCAMMERS WE HAVE ENCOUNTERED OUTSIDE OF THE UNITED STATES. WE WILL ONLY SHIP USING USPS EXPRESS MAIL INTERNATIONAL WHICH IS THE ONLY METHOD THAT OFFERS DELIVERY CONFORMATION ON ALL ORDERS OVER 100.00$(this method may be more expensive so please be sure to request a quote before bidding on an item) FL
MACTRONIX AIS-600 SPECIAL WAFER HANDLER / SORTER - All offers considered
Please note: shipping is available just not through eBay system however buyer is responsible for arranging own packaging. Shipping&or insurance due to size/weight or value of equipment. Weight& dimensions are listed below. Optional packaging services available, please inquire* Mactronix AIS-600 Special Wafer Handler/ Sorter Features are as follows: Key Pad Controller No manual(s) Calibration or other accessories included- as shown Mechanical condition: Used– no testing was performed Cosmetic condition: unit is used& does show signs of normal use– see photos for details Please note: Purchaser/buyer responsible for packaging. Shipping, etc. due to size/weight or value of equipment. Optional packaging services available. Please inquire. Item located@ dock high facility in Dallas. TX 75228 Freight Shipping Freight Packaging: We are ... moreable to secure and wrap durable items that can be shipped on a standard pallet for $45.00 each. Third party services are available for items that require professional packaging in order to ensure safe transit. Freight Shipping: We are not allowed to set up shipments for outbound freight unless the item is advertised or marked as Prepaid or Free Shipping. We offer transportation assistance including quotations from third party carriers. Unless otherwise stated. Buyers are responsible for all related packaging and shipping costs. Freight Documentation: In order to release goods to an individual or third party carrier. We require a valid form of identification and/or the Bill of Lading with all contact information that clearly identifies your approved carrier. If the warehouse doesn’t receive shipping documentation at least 24 hours prior to loading the item will not be released. Questions– please contact us prior to placing and offer/purchase and specify the item number please. Please visit our ebay store for more great items. We specialize in Printed Circuit Board Assembly(Electronics Manufacturing) Equipment. Semiconductor, Wire& Cable Processing, Business& Industrial
Brooks Automation 6M Fixload Loadport Wafer Handler Part# 13096-369-2
Brooks Automation 6M Fixload Loadport Wafer Handler Part# 13096-369-20. New unit in perfect condition. Manufactured 2008. This unit originally configured for an Accent/Micron Sipher 300 Wafer Inspection System. See our stores Wafer-Robot category for other Asyst/Brooks/PRI boards and parts. For international shipments buyer is responsible for duties and tariffs. Local pickup in San Jose CA. available. Shipping Details: Freight only. Weight with custom crate is 285 lbs. Dimensions 62"x27"x36" Unit weight 130 lbs. Inventory Code: DSL-K-SVT#1174-1. Email: Please email or call if any questions. Office# 408-564-6264. For new and used high-tech and industrial automation parts and systems visit us online at: Or. S.
Varian E11320430 Wafer Handler Orienter Rev. J1 E1501940 Used Working
This Varian E11320430 Wafer Handler Orienter is used surplus. The physical condition is good. But there are signs of previous use and handling. Part No: E11320430 Rev. J1 PCB Part No: E1501940, ORIENTER. WAFER HANDLER Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 24"x16"x16" 23 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number, date or country of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See ... moreDetails Below) Lister 0 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 7.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handlin
Cybeq 8000 Robot Controller wafer handler veeco dektak 8200 surface profiler
READY TO SHIP! NO WAITING! FREE DOMESTIC SHIPPING Item for Purchase: Cybeq 8000 Robot Controller Quantity: x1 As seen in pic Condition: Good used unit. Was removed from a Dektak 8200 that was pulled from service. Item specifics/Details: You get all cable that you see. The rs 232 and the host computer cable have been cut as you can see in pic. NOTE: C osmetics: Looks great. NO OTHER ACCESSORIES or COMPANIONS are included; such as but not limited to: USB cables. Power supply, adapters, expansions card memory cards, software, printers, downloads, software, updates, equipment, or any other accessories offered. PREVIEW ALL PICTURES: ACTUAL photos: NO STOCK PHOTO: Actual WHATS INCLUDED WITH YOUR PURCHASE: What you see in the picture. CONTACT US: PLEASE CONTACT US via Ebay message system for questions. Quotes, shipping info, or any other requests/concerns. ... moreYour email is not always answered due to"spam" issues. RESELLERS: CONTACT US immediately via MESSAGE SYSTEM once you purchase the item. If you have requests for reference labeling. PO numbers etc. We PREPARE immediately once payment is received. We do not honor returns for/to forwarding agents, 2nd or 3rd party vendors or what is known as"drop" shippers. No Returns for obvious reasons for resellers who sell in this manner. Double click on photos to enlarge them to inspect. Get details or clarification to help your buying decision. General Liability Release By purchasing an item the following applies: We do not imply the use or usage of an item/product. Prior to bidding or using individually seek if applicable the manufacture for full details on proper usage of the item. Instruction for fitting and usage of an item if applicable, maintenance/operations, care of item or any regulatory requirements for the item in which you are seeking to purchase. As a Buyer you need to know/research the use of item individually or how to utilize in a particular setting or will and need to obtain the proper instructions. Operating/usage experience requirements, certi