Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
250.00
Buy It Now
Free Shipping
Condition: New
Location: Rochester, United States
ENTEGRIS 150 mm (6 in.) Wafer Storage Box, E124-60-101-69F02, NEW Lot of 4We also have wafer carriers as well.A-MR
$
20.00
Buy It Now
$15.00 Shipping
Condition: Used
Location: Fairport, United States
Fluoroware E124-60 6" 150mm Robox Silicon Wafer Case A196-60ML 25 Slot Cassette.
$
399.99
Buy It Now
$17.41 Shipping
Condition: Used
Location: Leander, United States
(1) Empak PH9150 Case. Model: PH9150. Manufacturer: Empak. (25) Semiconductor Silicon SiC IC Wafer 150mm. The carrier is used but the wafers are new. ... moreThe wafer has a pattern of grind marks on the back side.
$
34.79
Buy It Now
Free Shipping
Condition: Used
Location: Clinton, United States
Here is a Fluoroware 150mm wafer carrier / cassette, PA194-60MB. This is used. A few minor scratches and blemishes from previous use. This does have a ... moredate stamped on the top, 12-20-93. Not sure if standard for this model or special for end user.
$
400.00
Buy It Now
$15.68 Shipping
Condition: New
Location: Rochester, United States
Wafer Chuck 6.5” Diameter for 150mm wafer newA-mr
$
25.00
Buy It Now
$4.75 Shipping
Condition: New – Open box
Location: Glenns Ferry, United States
The silicon wafer is n-type, 1-20 ohms/cm2, thickness is 650-675um thick, & orientation.
$
107.50
Buy It Now
Free Shipping
Location: Huntsville, United States
The wafer colors will vary depending on viewing angle and lighting conditions.
$
285.00
Buy It Now
$18.75 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
25 slot,Teflon / PFA material wafer carrier for chemical processing o f 150mm (6") x up to 1mm (0.039"). silicon, sapphire, II-VI, III-V wafers -or- glass, ... moreoptical and other single crystal substrates.
$
219.99
Buy It Now
$17.41 Shipping
Condition: Used
Location: Leander, United States
(14) Semiconductor Silicon SiC IC Wafer 150mm. The carrier is used but the wafers are new. The wafer has a pattern of grind marks on the back side. This ... moremakes an excellent display/modern art decoration, an educational study object, or a great gift for the engineer in your family!
$
125.00
Buy It Now
Free Shipping
Location: Huntsville, United States
The wafer colors will vary depending on viewing angle and lighting conditions.
$
12.99
Buy It Now
Free Shipping
Condition: Used
Location: Cleveland, United States
High quality cleanroom class 100 grade single wafer carrier cases are commonly used for the storage, transport and handling ofsemiconductor wafers ,sapphire ... morewafers or othersingle crystal substrates.
$
5950.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
Manual quick lift platen. Mitutoyo microscope with single long working distance objective.
$
250.00
Buy It Now
$15.68 Shipping
Condition: Used
Location: Rochester, United States
Wafer Chuck 6.5” Diameter for 150mm wafer usedA-mr
$
183.95
Buy It Now
$19.02 Shipping
Condition: New
Location: Tucson, United States
SKU# CA0104 Each Single Wafer Carrier Case includes a spider ring (pressure retention), lid and the base case. The wafer carriers have a conical base ... moreto support the wafers at the edges only. The wafers are held in place and secured by a spider spring and locking lid.
$
349.00
Buy It Now
$42.85 Shipping
Condition: Used
Location: Haverford, United States
QTY 15 150mm/6" Stainless Wafer Trays with Holder. Removed from an automated inspection machine with robotic handling. Stainless steel trays have a hinged ... morepiece to secure the wafer.
$
300.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Glenns Ferry, United States
Fluoroware A182-60MU boat with A040 locking handle. Fits 150mm wafers.
$
59.99
Buy It Now
$15.00 Shipping
Condition: New – Open box
Location: Albuquerque, United States
For sale is a New/Surplus Santa Clara Plastics A220-150C50-0215 wafer cassette. What is shown in the photos is what you will receive.
$
25.00
Buy It Now
$4.75 Shipping
Condition: New – Open box
Location: Glenns Ferry, United States
The silicon wafer is n-type, 1-20 ohms/cm2, thickness is 650-675um thick, & orientation.
$
179.99
Buy It Now
$12.50 Shipping
Condition: New – Open box
Location: Albuquerque, United States
For sale is a New/Surplus Applied Materials 00190-00137 for 150mm systems. We have several used wafers as well. What is shown in the photos is what you ... morewill receive.
$
399.99
Buy It Now
$17.41 Shipping
Condition: Used
Location: Leander, United States
(25) Semiconductor Silicon SiC IC Wafer 150mm. The carrier is used but the wafers are new. The wafer has a pattern of grind marks on the back side. This ... moremakes an excellent display/modern art decoration, an educational study object, or a great gift for the engineer in your family!
$
4000.82
Buy It Now
$52.96 Shipping
Condition: Used
Location: Buda, United States
(See Hours of Operation, above). REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, ... moretā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ yāoqiú.
$
99.99
Buy It Now
$13.85 Shipping
Condition: Used
Location: Tucson, United States
Lot of 4 Empak / Entegris 150mm UltraPak PH9150 WaferShield Carriers with X9150 Wafer Cassettes. (25 slot cassettes). Used in good condition.
$
150.00
Buy It Now
$13.60 Shipping
Condition: New
Location: Lompoc, United States
150mm Aluminum 25 wafer cassette / carrier with PTFE stops. Condition is New. Shipped with USPS Ground Advantage.
$
17.66
Buy It Now
$22.56 Shipping
Condition: Used
Location: Las Vegas, United States
With Entegris 125-176 12 Slot 6" Wafer Holder. A signed credit card authorization form is required for all orders paid with credit card. ALWAYS HERE TO ... moreHELP.
$
4999.99
Buy It Now
Condition: For parts or not working
Location: Phoenix, United States
Disco DAD-2H/6M Automatic Wafer Dicing Saw. Model Number: DAD-2H/6M. (1) Are you the end-user of this item?. Spindle speed variable from 10K to 30K RPM. ... moreManual Theta rotation. Capable of cutting wafers up to 6" dia.
$
199.00
Buy It Now
$15.00 Shipping
Condition: New – Open box
Location: San Francisco, United States
The item title is "Silicon Wafers, 150mm (6\") Lot/Boat of 25 with Cassette and Carrier".
$
15.00
Buy It Now
$15.00 Shipping
Condition: Used
Location: Rochester, United States
25 Slot Fluoroware (150mm) Silicon Wafer Case wafer TEST box. Shipping discount for each addition unit, we also have non test Fluoroware wafer cases. ... moreA-Mr
$
75.29
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Size: 150mm. Total Height: 7". CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of this product. ... moreOriginal manufacturer's warranty does not apply.
$
669.71
Buy It Now
$13.82 Shipping
Condition: Used
Location: Buda, United States
Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson at getsparesllc. ... more(See Hours of Operation, above). REQUIRED BY US LAW.
$
332.12
Buy It Now
$13.92 Shipping
Condition: Used
Location: Buda, United States
KLA-TENCOR 8100, 8200, 8300 CD SEM. (See Hours of Operation, above).
$
20900.00
Buy It Now
Condition: Used
Location: Goleta, United States
See pictures for more detail. (See pictures for more detail.). Veeco Wyco M3307 Wafer Spin Coater Developer Photo Resist w/ Computer + Software for Semiconductor ... moreProcessing 9105. SSEC M3307 Wafer Spin Coater.
$
6500.00
Buy It Now
$13.92 Shipping
Condition: Used
Location: Buda, United States
Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson at getsparesllc. ... more(See Hours of Operation, above).
$
150.00
Buy It Now
$23.75 Shipping
Condition: Used
Location: Dublin, United States
Silicon Wafers, 150mm (6") with flat. Lot/Boat of 25 with Cassette and Carrier. Typical Mirror finish on one side, no patterns.
$
34.95
Buy It Now
$11.55 Shipping
Condition: Used
Location: Phoenix, United States
Empak PH9150 Ultrapak 150mm with Wafer Carrier Description Empak PH9150 Ultrapak 150mm with Wafer Carrier Manufacturer Empak Manufacturer Part Number ... morePH9150 Item/Ship Weight 2 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300. Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping We will professionally package. Using instapak foam-in-place if needed, and insure this product for safe delivery. Domestic shipping rates are available using the shipping calculator below, subject to terms& conditions. Please contact us for international shipping rates. PID 27259 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package is too large to qualify. As such. We may need to contact you before shipping to make an adjustment to a different method which may change the price for shipping as well. This bug has been reported, but they gave no timeline for a fix, so if you are purchasing an item on the larger side(boxed size approx. 20x20x10 or more) and plan on choosing a USPS shipping method, please
$
30.00
Buy It Now
$10.02 Shipping
Condition: Used
Location: Fremont, United States
Entegris X9150-0406 25 slot Wafer Holder Carrier Cassette Transport 150mm/6" Ultrapak Wafershield.
$
15.00
Buy It Now
$8.00 Shipping
Condition: Used
Location: Bothell, United States
TWO USED(LIKE NEW) ENTEGRIS EMPAK ULTRAPAK 150mm 25 WAFER CARRIERS PH9150. CARRIERS PICTURED ARE THE CARRIERS YOU WILL RECEIVE. GREAT PRICE FAST SHIPPING ... more14 DAY RETURN POLICY Powered by The free listing tool. List your items fast and easy and manage your active items.
$
35.00
Buy It Now
$20.80 Shipping
Condition: Used
Location: Durham, United States
50+ more available.
$
1500.00
Buy It Now
$20.00 Shipping
Condition: Used
Location: Sherman, United States
USED KLA Tencor 150mm/200mm Wafer Vacuum Chuck - Part # 0023889-00.
$
1500.00
Buy It Now
$98.47 Shipping
Condition: New
Location: Billings, United States
Expertech SVG THERMCO. 150mm Wafer Carrier. 160 Slot Oxide. Quartz 6 Rail Boat.
$
276.77
Buy It Now
$19.58 Shipping
Condition: Used
Location: Buda, United States
KLA-TENCOR 8100, 8200, 8300 CD SEM. (See Hours of Operation, above). WE BUY SURPLUS SEMICONDUCTOR PARTS.
$
150.00
Buy It Now
$25.00 Shipping
Condition: Used
Location: Boca Raton, United States
VERTEQ ROTOR PARTS NUMBER A190-60M , H. BAR IN . Condition is "Used".
$
358.18
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This ASM 88-123826A06 Wafer Boat 18 Count P+/Boron 150mm is new surplus. The physical condition is great. These products are subject to export restrictions ... moreunder U.S. law. Orientation: . Serial numbers or country of manufacture may vary.
$
165.99
Buy It Now
$22.50 Shipping
Condition: New – Open box
Location: Albuquerque, United States
For sale is a set of New/Surplus Quartz Wafer carriers for 150mm systems. One was removed for photos. Each carrier holds 25 ea 150 mm, 6" wafers. What ... moreis shown in the photos is what you will receive.
$
307.18
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
POCO DE-12588 Carrier, Wafer, 150mm Cont, SuperSiC-3C New. This POCO DE-12588 is new other surplus. The physical condition is great. These products are ... moresubject to export restrictions under U.S. law.
$
37500.00
Buy It Now
Condition: Seller refurbished
Location: Palisades Park, United States
Model M150PC Photostabilization System. Axcelis Fusion. We are minutes from Manhattan, and local to all 3 New York City Area Airports. This is our test ... moresystem, and has been used as a benchmark when we have refurbished other systems.
$
95.00
Buy It Now
$18.25 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
These slingshot handles work with Entegris / Fluoroware and many competitors' (ePAK, CKplas, Dainichi, etc) 150mm (6") round wafer carriers. Teflon / ... morePFA material for use in chemical baths. We have many parts we have not had a chance to list yet.
$
35000.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
The process periods are typically 1‑600 seconds in duration, although periods of up to 9999 seconds can be selected. The wafer to be processed is placed ... moreon a quartz tray that slides into a quartz isolation tube in the oven unit.
$
85.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Hudsonville, United States
$
325.00
Buy It Now
$8.85 Shipping
Condition: New
Location: Fremont, United States
This is a lot of 10 Silicon Wafer 6" 150mm) Polished. Prime Grade, They are packaged in individual cleanroom wafer cases and were cleanroom sealed. ... moreThickness: 675 um. Orient:
$
595.00
Buy It Now
$30.37 Shipping
Condition: Used
Location: North Billerica, United States
Does not include wafer.
$
999.99
Buy It Now
Free Shipping
Condition: New – Open box
Location: Lake Forest, United States
PN: 033458600. New Veeco 150mm Wafer Seat. Argon Sealed. We do our best to describe everything.
$
65.00
Buy It Now
$11.85 Shipping
Condition: Used
Location: Westminster, United States
Pak Wafer, Carrying Wafer Box 150mm / PAK eCT-LX6/150-4-44-R.1 silex Microsystem. Condition is Used. Shipped with USPS Priority Mail.
$
15999.00
Buy It Now
Condition: Used
Location: Singapore
WENTWORTH LABS 6" MANUAL PROBE STATION/ WAFER PROBER (MITUTOYO MICROSCOPE) w/ TEMPTRONIC THERMO CHUCK. Type: Manual Probe Station/ Wafer Prober. Mitutoyo ... moreWF Trinocular Phototube/ Head. (1 pair) Mitutoyo WF 10x/24 Eyepieces.
$
132.04
Buy It Now
$156.88 Shipping
Condition: Used
Location: Las Vegas, United States
With Entegris 125-176 12 Slot 6" Wafer Holder. A signed credit card authorization form is required for all orders paid with credit card. ALWAYS HERE TO ... moreHELP.
$
879.99
Buy It Now
Free Shipping
Condition: Used
Location: Palmer, United States
Unit is Untested! Sold as-is pictured. No Warranty!
$
1850.99
Buy It Now
$389.77 Shipping
Condition: Used
Location: Santa Clara, United States
The first unite was tested with 4” wafer cassette no wafers and no vacuum. ONE OF THE UNITS DOESN'T HAVE ONE SIDE COVER.
$
1249.99
Buy It Now
$220.74 Shipping
Condition: Used
Location: Phoenix, United States
Nikon NWL-640 Wafer Loader for 100 mm, 125 mm, and 150 mm Wafer Sizes. The units power up but we do not have expertise to test them in full. None of them ... morehave the wafer holder. Wafer Extraction Procedure: Designated pocket.
$
998.78
Buy It Now
$105.28 Shipping
Condition: For parts or not working
Location: Fremont, United States
Local Pick-up is Available.
$
2000.99
Buy It Now
$9.05 Shipping
Condition: Used
Location: Boise, United States
(See Hours of Operation, above). Gasonics L3510 Gasonics PEP-3510.
$
225.00
Buy It Now
$89.39 Shipping
Condition: Used
Location: Corvallis, United States
Vacuum wafer chuck --integral parts for the Signatone S-450 Semi-Automatic Probe Station.
1 2 3 4 5
 In 

Former Listings  
 
RARE 6"/150MM SILICON WAFER ETCHED NV MEMORY WAFER SUPERB COLLECTIBLE COLOR ETCH
A very rare and unusual object– this CAN be yours! It is very difficult to photograph this object as it is so shiny but here is what it is: 150Mm diameter part processed pure silicon wafer with etched pattern· Etched patterns/circuits· Rare 150 mm(6” diameter as new wafers are almost exclusively 300mm(12” now- this dates the wafer to mid-1980's The wafers have test chips consisting of capacitors of a non-volatile memory technology. These wafers consist of capacitor structures utilizing Platinum electrodes and some exotic very high-k materials like SrBi2Ta2O9. Often diffusion barriers were deposited prior to the capacitor module but I have no way of telling this under my cheapo microscope. It is a great item and very interesting– could be embedded in Lucite or mounted in a nice frame. Stunning- absolutely STUNNING under halogen ... morelighting Would make a nice talking point in anyone’s den or office area. Wafers like this are usually destroyed so as to stop them being copied or stolen. When the light strikes the surface at the right angle- lots of fantastic colours are produced. The picture does not do it justice as it is so difficult to photograph! NOTE: These are vintage wafers AND AS FAR AS I CAN SEE THEY ARE PERFECT but I can guarantee you that they will have no cracks or chips at all- 100% money back guarantee. These wafers will make a great addition to your collection or as a talking point in your den or office. A great gift for those interested in technology. Item will be properly packaged and sent by Registered Airmail– 10-15 days shipping time or you can opt for Speedpost Express Mail Service(Like DHL/TNT/Fedex) at an additional small charge. Powered by The free listing tool. List your items fast and easy and manage your active items.
25 Silicon Wafer Wafers 6" (150mm) Polished, Films and/or Patterns
Powered by Frooition Pro Shop Search. Click to close full size. Item Description. For sale are 25 pieces of 150mm(6" silicon wafers. These wafers have films and coating or patterns. Some have film are this kind of pink on the backside of the wafer. The type and resistance is unknown. We ship standard in the cassettes for these wafers. Please ask any questions before bidding and good luck. Powered by The free listing tool. List your items fast and easy and manage your active items. Sellebrity Analytics. About Us ML Solar. LLC operates as manufacturer and wholesale distributor specializing in the solar and renewable energy marketplace. We are located in Silicon Valley, which continues to be the leading hub for high-tech innovation and development. Our product lines range in assisting the weekend DIY'ers to light commercial construction ... moreprojects with the highest quality products available on the market today. With the combined years of experience in the solar industry and NABCEP certification, we are dedicated to fulfilling all of our customers' solar needs. Payment Payments for auctions must be made within TWO days of auction closing. We accept payments by PayPal and credit cards processed through PayPal. Wire transfers are accepted for payments over US$3000.00. Shipping We ship everyday Monday through Friday. If you have payment made by 1pm PST the chances are it will ship that day. We DO ship to APO/FPO and Post Office box addresses. To Alaska and Hawaii and to all countries around the world. Buyers are responsible for any international customs and duties that may apply. We can only ship to the address we receive from PayPal. We CANNOT ship to any address you give us over the phone. Email or eBay message. PLEASE MAKE SURE YOU CHOOSE THE CORRECT ADDRESS WHEN YOU MAKE THE PAYMENT. WE WILL NOT BE ABLE TO CHANGE THE SHIPPING ADDRESS AFTER PAYMENT IS MADE. Sometimes we need to contact you for additional verification. This may happen if your PayPal address is unconfirmed or if your credit card needs additi
Empak PH9150 Ultrapak 150mm with Wafer Carrier
Empak PH9150 Ultrapak 150mm with Wafer Carrier Description Empak PH9150 Ultrapak 150mm with Wafer Carrier Manufacturer Empak Manufacturer Part Number PH9150 Item/Ship Weight 2 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300. Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping We will professionally package. ... moreUsing instapak foam-in-place if needed, and insure this product for safe delivery. Domestic shipping rates are available using the shipping calculator below, subject to terms& conditions. Please contact us for international shipping rates. PID 27259 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package is too large to qualify. As such. We may need to contact you before shipping to make an adjustment to a different method which may change the price for shipping as well. This bug has been reported, but they gave no timeline for a fix, so if you are purchasing an item on the larger side(boxed size approx. 20x20x10 or more) and plan on choosing a USPS shipping method, please
Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
Questions? Call us: 1-877-328-9236. Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6" Manufacturer: Applied Materials AMAT Model: 0020-04257 Condition: Used Price: Cosmetic appearance may vary slightly from pictured unit(s) Manufacturer: Applied Materials AMAT Condition: Used. Comes in factory original packaging. Notes: No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6" Specifications: Manufacturer: Applied Materials(AMAT) AMAT Part# 0020-04257, Revision: Rev. E, Description: Wafer Pedestal, Size: 6.00" 150 mm) Packaged Weight: 2.00 lbs. Condition: Used, Quantity: 1, SKU: N18P029 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 ... moreor(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. DougDeals does not ship on buyer accounts or allow the buyer to arrange shipping. Shipment must be arranged through us and will be prepaid to us by the buyer. Local pick up is available for buyers and their direct employees. Warranty. T
Entegris X9150-0406 Ultrapak WaferShield for 6" 150mm Wafer
Entegris X9150-0406 Ultrapak WaferShield for 6" 150mm Wafer Description Entegris X9150-0406 Ultrapak WaferShield for 6" 150mm Wafer Manufacturer Entegris Manufacturer Part Number X9150-0406 Item/Ship Weight 1 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. ... moreShipping We will professionally package. Using instapak foam-in-place if needed, and insure this product for safe delivery. Domestic shipping rates are available using the shipping calculator below, subject to terms& conditions. Please contact us for international shipping rates. Local pickup is encouraged for which all shipping and handling fees will be waived PID 42653 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package is too large to qualify. As such. We may need to contact you before shipping to make an adjustment to a different method which may change the price for shipping as well. This bug has been reported, but they gave no timeline for a fix, so if you are pu
Disco DFM-M150 6" 150mm Film Frame Manual Wafer Mounter (skuC780)
Up for sale is a Disco DFM-M150 6" 150mm Film Frame Manual Wafer Mounter The unit does not power on and has no signs of life. As far as physical condition there are scratches. Scuffs, some missing screws and possibly parts missing. see pictures) I have described this item to the best of my ability so if you have any questions about this item please send me a message. Thanks for looking! THIS ITEM IS BEING SOLD FOR PARTS OR REPAIR ONLY! THAT MEANS THAT THIS ITEM WILL NOT BE FULLY FUNCTIONAL. THERE MAYBE ADDITIONAL PROBLEMS WITH THE ITEM THAT ARE UNLISTED. BECAUSE WE DO NOT FULLY TEST ITEMS THAT WE SELL FOR PARTS. If you have questions about any of our items. Please feel free to send an email. We answer emails between 8:00am-4:00pm PST Monday-Friday. On the weekends we are closed. We will answer your question the next business day. Q2 ... moreOn May-17-13 at 19:22:55 PDT. Seller added the following information: Every buyer gets a MyStoreRewards invitation for cash back
AMAT 0020-22292 Lower TiN Shield 6"/150mm Wafer Applied Materials
Questions? Call us: 1-877-328-9236. AMAT 0020-22292 Lower TiN Shield 6"150mm Wafer Applied Materials. Manufacturer: Applied Materials AMAT Model: 0020-22292A Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Applied Materials AMAT Condition: Used. Comes in factory sealed packaging. The item is sealed and double bagged. The unit has a label that states its been ultrasonically cleaned from the QMS Company as photos show. Notes: No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) AMAT 0020-22292A lower tin wafer shield, Specifications: Manufacturer: Applied Materials, Part Number: 0020-22292A, SKU: K16G006 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 ... moreMonday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. DougDeals does not ship on buyer accounts or allow the buyer to arrange shipping. Shipment must be arranged through us and will be prepaid to us by the buyer. Local pick up is available for buyers and their direct employees. Warranty. This item is guarante
Trikon 162903 150mm 200mm Wafer Lift Asy part# 162903
SPECIFICATION Make: Trikon Model: Condition: Pre Owned. Like Neew Description: 150mm 200mm Wafer Lift P/N: 162903*Item is available for Local Pick up(San Jose. Santa Clara, Cupertino, Sunnyvale, etc. CONTACT Visca Equipment If you have questions or need more pictures. Please contact us. Business Hours: M-F 9AM to 6PM PST Email Address: Visca.Equipment@gmail.com
Laurier DS6000-8 DS-6000 6" 150mm Wafer Chip Die Sorter
Questions? Call us: 1-877-328-9236. Laurier DS6000-8 DS-6000 6" 150mm Wafer Chip Die Sorter. Manufacturer: Laurier Model: DS-6000-8 Condition: For Parts or Not Working Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Laurier Condition: For Parts or Not Working. Cosmetic condition is Good with lots of minor blemishes from use. A few cover panels are loose or missing. The Cognex 1500 has the front panel off and only one card is present inside. Notes: We applied power and the arm in between the cameras rotated a few degrees and stayed in position. The right monitor came on and gave a"No boot device available" error. The illuminators for the cameras both adjust from dim to bright light. The camera system never gave any video on the screen. Without software to run the machine. No further testing could ... morebe done. No manuals, cords/cables, or additional items are included if not listed or shown. Included: 1) Laurier DS6000-8 Wafer Chip Die Sorter, Specifications: Manufacturer: Laurier, Model: DS-6000-8, Die sorter, For up to 6" wafers, Dual cameras, Camera lenses: Optem Zoom 65, Cameras: Panasonic WV-BL202, Power: 208V. 5A, 50/60Hz, Plus air& vacuum, SKU: L35D002 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. S
Very Nice Empak PH9150 Ultrapak 6"(150mm) without Wafer carrier
Very Nice Empak PH9150 Ultrapak 6"150mm) without Wafer carrier XuMatic Inc is a high technology company dedicated to developing thin film solar cells technology. Semiconductor equipment and solar materials to produce solar energy. Its mission is to design and implement new solar energy equipment and materials. Including copper indium gallium selenide(CIGS) thin film deposition process enabling lower solar cell prices and wider adoption of solar power. Surplus Equipment is sold as is and Xumatic Inc shall not be liable for any use of misuse of this product
25 Silicon Wafer Wafers 6" (150mm) SiO2
Up for auction is a box of 25 p-type silicon wafers with SiO2 film deposited on them. Wafers are 675um thick. Orientation. 1-20 ohms.
Fluoroware A182-60MU 6" 150mm Robox Silicon Wafer Shipping Carrier
Fluoroware A182-60MU 6" 150mm Robox Silicon Wafer Shipping Carrier Description Fluoroware A182-60MU 6" 150mm Robox Silicon Wafer Shipping Carrier Manufacturer Fluoroware Manufacturer Part Number A182-60MU Item/Ship Weight 3 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us ... morebefore bidding. Shipping We will professionally package. Using instapak foam-in-place if needed, and insure this product for safe delivery. Domestic shipping rates are available using the shipping calculator below, subject to terms& conditions. Please contact us for international shipping rates. Local pickup is encouraged for which all shipping and handling fees will be waived PID 42654 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package is too large to qualify. As such. We may need to contact you before shipping to make an adjustment to a different method which may change the price for shipping as well. This bug has been reported, but they gave no timeline for a fix, s
Empak PX9150-04 wafer cassette and box ultrapak 150mm PH9150 (P54DH)
H9200-0023 200mm Ultrapak. HP-PP.
Entegris Fluoroware A182-60MB PFA Wafer Cassette 6" 150mm
You are bidding on a Entegris Fluoroware PFA high purity wafer cassette part number A182-60MB. Holds 25 each 150 mm wafers. Excellent condition. Please ask questions if you have them. I accept Paypal only or cash if its a local pick up. I usually ship next day after payment is received or in some cases same day if time allows. Please US bidders only. I will NOT ship out of the country. Payments must be received within 5 days of close of auction. Happy bidding and thanks for looking. If you are a new bidder and you have ZERO feedback you must contact me first with intentions to bid or your bid/bids will be cancelled immediately.
2 Microtool Wafer 300mm to 150mm & 300mm to 200mm adapters AM-3002 & AM-3002150
2 Microtool Wafer 300mm to 150mm and 300mm to 200mm adapters AM​-3002& AM-3002150 1 lot of 2 Microtool Adapters Used Buy Them Now: $90 for both Best offers considered Packed Size: 12x12x6 Packed Weight: 12lbs Sorry. No International Shipping on this item Our Lot# 3158 WE USUALLY SHIP(95% OF MY LISTINGS SAME DAY IF PAID FOR BY 12:00 P.M. PDT. PLEASE EMAIL ME OR CALL 818-384-1158 TO VERIFY I SEE YOUR PAYMENT OR IF YOU NEED YOUR ITEM SHIPPED SAME DAY. PLEASE SEE MY OTHER LISTINGS FOR MORE GREAT VALUES! WE WILL COMBINE SHIPPING IF POSSIBLE. WE WILL SHIP WORLDWIDE(Except when noted above) THANK YOU! Please check out the photos in the listing so you can see the item you will be receiving. Please email us from the"Ask A Question" link at the bottom of this page with any questions. Your satisfaction is important to us. If for any reason ... moreyou are not happy with the item. Or our service, please contact us with the problem. So before leaving a negative, neutral, or even unflattering positive feedback, please simply contact us. We will do whatever is necessary to resolve the situation. SHIPPING INFO: This item weighs about 12 lbs packed in a 12 X 12 X 6 Inch Box. The amount quoted for shipping is directly from the USPS/FedEx based on distance package will travel and weight. If the rate provided seems incorrect. Please contact us and we will be happy to verify with chosen carrier. Shipping is calculated using the USPS calculator for USA orders. For international(if available) A $30 paperwork fee applies and the bidder must provide a FedEx, UPS or DHL account number for any and all shipments, export/import and or custom fees- please contact us for exact shipping cost. Typically we ship out items same day for payments received before 12pm pacific time. Items being shipped within CA will include sales tax.
Entegris Ultrapak PH9150 150mm Wafer Carrier w/ Wafer Cassette X9150-0406
Click on Picture to Enlarge, Entegris Ultrapak PH9150 150mm Wafer Carrier w/ Wafer Cassette X9150-0406 This is another fine Gizmo from SurplusGizmos located in Hillsboro Oregon. Entegris Ultrapak PH9150 150mm Wafer Carrier w/ Wafer Cassette X9150-0406 Item Description and Features: Click on pictures to the left to view larger images. 25 6" wafer carrying capacity Removable wafer cassette See pictures for more details. Please look over the photos carefully and do not assume anything about the item(s) included in this listing. This item is sold as you see in the pictures. Unless otherwise stated. If it is not pictured, it is likely not included. We are not experts and do not claim to be with any of the items we sell. We do the best research we can in order to properly represent the item(s) as clearly and honestly as possible. We strongly ... moreencourage buyers to do their own research beforehand. If you have any questions, please ask before you make your purchase and we will do our best to answer your questions to the best of our abilities. Item Condition: Used. Good condition. Color condition for the pictures wasn't the best but they are clearish milky white in color. Item is sold"as is" No guarantee Testing Done: No testing done You will Receive: 1)Entegris Ultrapak PH9150 150mm Wafer Carrier w/ Wafer Cassette X9150-0406 Item Weight: Shipping Weight is approximately 2.5 pounds. If purchasing multiple items. We will send you an updated invoice with combined shipping when possible. You can also reach us by visiting our retail store directly or via phone during normal business hours. SurplusGizmos is located at: 5797 NW Cornelius Pass Road. Hillsboro Oregon, 97124 Retail hours are Tue- Fri 10am- 6pm. Sat 10am- 5pm Store phone is 503-439-1249 Feel free to stop by to examine items during regular hours. Shipping methods are as follows: Local pickup is abvailable but please call so we can have the item ready. Items are generally shipped in 2 to 3 business days(Tuesday thru Friday) after payment has cleared
H-Square Corp - WT6HAS Horizontal Wafer Transfer Cassette 150mm Wafers
H-Square Corp- WT6HAS Horizontal Wafer Transfer Cassette 150mm Wafers From the manufacturer: WT- MANUAL HORIZONTAL WAFER TRANSFER SYSTEM M anual wafer transfer machines safely mass transfers wafers between various combinations of SEMI standard cassettes. Units are constructed of chemical resistant materials and are ideal for wet areas. ESD safe construction- Class 10 cleanroom com patible Dimensions: Overall Length: Approximately 18" Overall width: 9" Please verify dimensions and cassette size prior to purchase. Check Out: Purchase: Making purchases is easy and simple. To place an order for procurement. Please click the“Buy It Now” button. Follow to the next page for payment instructions. Payment: Click the“Pay Now” button at the top of the E-Bay page to proceed with the checkout and payment process. Payment must be made within ... more5 days of purchase. Accepted Forms: We accept PayPal. Or you may pay on your credit card via PayPal. When paying via PayPal. Payments must include your shipping address and should be submitted via the E-bay payment process. Sales Tax: For California customers. Sales tax is added and depends upon your location. If you are tax exempt. Please provide a copy of your Tax Exempt Certificate prior to check out. Please do not send payment before receiving a revised invoice. Shipping: Shipping rates are the responsibility of the customer and are not refundable. Rates include handling. Packaging materials, label scanning and tracking information. Shipping rates are based upon the weight and dimensions of the package and your location. If we have packaging materials for smaller items. We include packaging and handling at no charge. If they are unavailable, we charge our cost. For larger capital equipment, please contact us for crating costs and exact weight prior to shipment as the crate will add weight to the tool set. Insurance: Should you prefer insurance on your item. Please inform us PRIOR to check out so this may be added to the shipping cost. Insurance is not added unless specifica
Micro Automation 1100 Dicing Saw,Wafer Dicing, Programmable 150mm Dicing saw
Up for sale is a total refurbished programmable Micro Automation dicing saw model 1100. It’s been refurbished to OEM standards. Also we have added some upgrades to the saw. It has a new spindle drive. New color camera, and a 19” flat screen Monitor. The MA1100 Dicing saw has the capacity on the X axis from 0.0 to 6” The cutting rate is 0.005 to 12 inches/second, and repeatability is 0.00025”over 6” Please contact us for more information on this refurbished dicing saw.
Cassette Indexer w/tray, 150mm 6" Wafer loader drive AMAT 9000 9200 9500 implant
Applied Materials: 9000 9200 9500 Cassette Indexer 6" Wafer Loader Ferro Drive with Loader. Implant? As in photos. Check out my! SER.
Fortrend 4 Position Wafer Transfer System, 150mm 2 Quart Boats to 2 Teflon Cass.
Fortrend 4 Position Wafer Transfer System. Model F-6425 Designed to accommodate 4 each 150mm/6" wafer cassettes Easy to Use Rapid Transfer Low Maintenance Costs 6"150mm wafer compatible Designed to accommodate 4 Cassettes: 2 Teflon wafer cassettes transferring to 2 Quartz boats at a time Each Teflon Cassette can hold up to 25 wafers per cassette Each Quartz Boat can hold up to 25 wafers per quartz boat Low Maintenance costs: 110V Controls. Pneumatic Actuation Easy to Use: Push Button Switches. Automatic motions Work horse Design: No motors to fail. Replace or repair Facilities: 110/120VAC 50 PSI Air 3Amp Current Immediately available. System is being offered As Is in order to reduce the price. Please feel free to come by our facility: Requires only Air and 110V outlet to demonstrate operation. Check Out: Purchase: Making purchases ... moreis easy and simple. To place an order for procurement. Please click the“Buy It Now” button. Follow to the next page for payment instructions. Payment: Click the“Pay Now” button at the top of the E-Bay page to proceed with the checkout and payment process. Payment must be made within 5 days of purchase. Accepted Forms: We accept PayPal. Or you may pay on your credit card via PayPal. When paying via PayPal. Payments must include your shipping address and should be submitted via the E-bay payment process. Sales Tax: For California customers. Sales tax is added and depends upon your location. If you are tax exempt. Please provide a copy of your Tax Exempt Certificate prior to check out. Please do not send payment before receiving a revised invoice. Shipping: Shipping rates are the responsibility of the customer and are not refundable. Rates include handling. Packaging materials, label scanning and tracking information. Shipping rates are based upon the weight and dimensions of the package and your location. If we have packaging materials for smaller items. We include packaging and handling at no charge. If they are unavailable, we charge our cost. For larger capital equipment, ple
Entegris Ultrapak 150mm Wafer Carriers (1 - 50 used available)
Each one weighs approx 2-3 lbs. This will determine your shipping cost. The lbs listed for shipping is for 1 box PH9150
Robox Wafer Carriers E124-60 & Entegris Ultrapak 150mm Wafer Carriers (lots)
Each bag weighs approx 20-25 lbs. This will determine your shipping cost. Each bag contains approx 15 We have 7 bags available $10. Per bag
13x Blank Silicon Wafer 6" (150mm) Polished/Mirror Finish w/ Protective Case
13x Blank Silicon Wafer 6" 150mm) Polished/Mirror Finish w/ Protective Case This auction is for a set of 13 blank silicon wafers. Each wafer is 6" 150mm) and one side polished to a mirror finish. Comes with(part of? a wafer carrier to help protect the wafers during transport. These are believed to have come from an Intel chip facility. All are in nice condition and being sold as-is. Makes a great addition to any semiconductor fab facility. Engineering/technology collection, or art piece. Be sure to check out my other auctions for more interesting things! Payment must be made within 3 days of auction close. Yes. I combine shipping on multiple items! gsrx_vers_526(GS 6.6.6(526)
Entegris Ultrapak 150mm Wafer Carrier PH9150 W/ WaferShield
Entegris Ultrapak 150mm Wafer Carrier PH9150 W/ WaferShield MANUFACTURER Empak MANUFACTURER PART NUMBER PH9150 PRODUCT NAME Ultrapak 150mm with Wafer Carrier DESCRIPTION Empak PH9150 Ultrapak 150mm with Wafer Carrier. CONDITION Used SHIPPING WEIGHT 1.00 lbs These have been used. They are in good condition. Some have writing on the outside of the box. Buy 10 and get 4 free!
8 pack of Entegris Ultrapak 150mm Wafer Carrier PH9150 W/ WaferShield
1 case(contains 8 units) Entegris Ultrapak 150mm Wafer Carrier PH9150 W/ WaferShield MANUFACTURER Empak MANUFACTURER PART NUMBER PH9150 PRODUCT NAME Ultrapak 150mm with Wafer Carrier DESCRIPTION Empak PH9150 Ultrapak 150mm with Wafer Carrier. CONDITION Used SHIPPING WEIGHT 1.00 lbs These have been used. They are in good condition. Some have writing on the outside of the box. Refurbished 150mm Empak/Entegris Ultrapak PH9150 1 case = 8pcs. $7.00 each) does not include wafers)
H Square Safe Wafer Flat Aligner, FFTBAS456, 100mm,125mm,150mm.
H Square Safe Wafer Flat Aligner. FFTBAS456, 100mm,125mm,150mm Works with Entegris A182-50MB and A182-39M cassettes. Thanks
MACTRONIX Eureka IV LB2850P5 Wafer Transfer 150mm Cassette to Quartz
MACTRONIX Eureka IV LB2850P5 Serial# 960216U495-WT207 · CASSETTE TO QUARTZ Wafer Transfer Unit 150mm UN-TESTED As Is. Where Is. Only Includes Items Shown. BUYER IS RESPONSIBLE FOR ALL CRATING AND SHIPPING Additional Pictures and Info Available by Request
MACTRONIX Eureka II Jr UKA-825 Wafer Transfer 150mm Cassette to Quartz
MACTRONIX Eureka II Jr UKA-825 Serial# 891003-402 · CASSETTE TO QUARTZ Wafer Transfer Unit UN-TESTED As Is. Where Is. Only Includes Items Shown. BUYER IS RESPONSIBLE FOR ALL CRATING AND SHIPPING Additional Pictures and Info Available by Request
MACTRONIX HORIZON HZN850P5 Wafer Transfer 150mm Cassette to Quartz
MACTRONIX HORIZON HZN850P5 Serial# 010502U562 · CASSETTE TO QUARTZ Wafer Transfer Unit UN-TESTED As Is. Where Is. Only Includes Items Shown. BUYER IS RESPONSIBLE FOR ALL CRATING AND SHIPPING Additional Pictures and Info Available by Request.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2Bf7%60%3E-146d1b96e1c-0x10a-
Entegris 150mm Multiple Wafer Shipping Boxes - X9150-04
You are bidding on 1 used and excellent condition Entegris 150mm Multiple Wafer Shipping Boxes Individual horizontal cantilever springs limit wafer rotation to reduce particle contamination and also secure the wafers in the cassette to safeguard against breakage. Ultrapure polypropylene materials provide a clean shipping environment and assure low levels of condensable inorganics. Horizontal and vertical robotic pickup flanges on the cassette allow convenient handling. Center notch track alignment and"H" bar ensure accurate equipment interoperability both vertically and horizontally. 10 DOA Warranty Loc: 129F
8 Empak PH9150 UltraPak 150MM X9150 Wafer Carriers
Eight used Empak Entegris PH9150 UltraPak 150MM wafer carrier with X9150 cassette. Holds 25 wafers. Used but clean. Please Note: This item can not be shipped to PO Boxes so if your Paypal address is a PO Box then we can NOT ship to you. We can only ship to the address that you have on file with Payal which cannot be a PO Box. We can NOT ship to alternate addresses that are put in the"notes" section of a payment.
AMAT 8330 Gripper for 150MM. Robots or wafer handling on the site
This is an AMAT 8330 Gripper for 150MM. We do have Robot and wafer handling on site. The part number for this product is 8330. And it is made for usage of 150mm wafers. Part is used and sold as is. We can’t test the unit. So CONDITION IS UNKNOWN. Shipping TBD– It is the Buyer Responsibility*Note: Information/pricing in shipping description and shipping method is for informational purposes only. Contact us for actual details. Accurate information can be provided at time of purchase. WE BUY SURPLUS SEMICONDUCTOR PARTS. CALL US AT 512-632-8382 or EMAIL SALES@GETSPARES.COM If a part is not pictured. Or mentioned in the description, then it is not included. Only items pictured are included. For multiple listed items. The pictured identification# or serial# may not be the one that will be sent. Hours: Mon- Fri: 8:00 AM- 5:00 PM– Central Texas Time ... moreWarehouse Hours: Mon- Fri 7:30 AM- 3:00 PM Central Texas Time. PAYMENT CONDITIONS: Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within five days. We accept: Cashier’s check. Visa& MasterCard, and Bank Wire Transfers in USD($25 wire transfer fee applies in addition to the sale price) An 8.25% Sales tax applies to TEXAS residents who don’t provide a correct. Valid resale tax ID for our records(11-digit) We cannot process the order until we receive sales tax or a valid resale-use certificate. TERMS AND CONDITIONS: Pictured items. Only, shall be included in the listing. If it is not pictured or in the description, then it is not included. Place a bid(s) only if you intend to purchase the item. If we(the sellers) are unable to establish contact with the winning bidder within 5 days of the end of the auction or if payment is not received within 5 days then the item(s) will be relisted. You are still responsible for payment on the item. Any contract or obligation of the seller will be void if payment is not made within 5 days. PACKING/SHIPPING: DOMESTIC SHIPPING A correct contact name. Correct phone number an
6" - 150MM - POLY - WAFER HANDLING CASSETTE CARRIER - 25 SLOT
6" 150MM- POLY- WAFER HANDLING CASSETTE CARRIER- 25 SLOT
MicroTool AM-3000-150 300-150mm Cassette Adapter For Use In Wafer Foup
MicroTool AM-3000-150 300-150mm Cassette Adapter For Use In Wafer Foup This is another fine Gizmo from SurplusGizmos located in Hillsboro Oregon. You may be interested in. MicroTool AM-3000-150 300-150mm Cassette Adapter For Use In Wafer Foup Item Description and Features: Please see pictures and the link provided below for more info. Snaps/Slides into 300mm foup to allow 150mm wafers to be handled inside it. Please pay as soon as possible. If you do not pay within three days. Please contact us or respond to our messages. If you do not pay and do not respond to our messages, we will be forced to open an unpaid item case after 7 days. It is polite Ebay behavior to pay within a few days or less if immediate payment is not possible. Please look over the photos carefully and do not assume anything about the item(s) included in this listing. This ... moreitem is sold as you see in the pictures. Unless otherwise stated. If it is not pictured, it is not included. We are not experts and do not claim to be with any of the items we sell. We do the best research we can in order to properly represent the item(s) as clearly and honestly as possible. We strongly encourage buyers to do their own research beforehand. If you have any questions, please ask before you make your purchase and we will do our best to answer your questions to the best of our abilities. Please contact us directly before opening up a case against if there are any issues with your order, item, etc. Item Condition: Used. Full operating condition unknown due to inability to test. One little plastic retention feature is broken. Please see pictures for more details. Item is sold"as is" No guarantee, Testing Done: No testing done, You will Receive: 1) MicroTool AM-3000-150 300-150mm Cassette Adapter For Use In Wafer Foup Item Weight: Shipping Weight is approximately 5.5 pounds. If purchasing multiple items. We will send you an updated invoice with combined shipping when possible. You can also reach us by visiting our retail store directly or via phone during
6" EMPAK - PX1150-25 - 150MM WAFER CASSETTE (25 SLOT) & US SEMI- CASE PH1150-25
EMPAK- PX1150-25-6" 150MM WAFER CASSETTE(25 SLOT) US SEMI-6" CASE PH1150-25(1 SET)
6" EMPAK - PX9150-04 - 150MM WAFER CASSETTE (25 SLOT) & EMPAK ULTRAPAK CASE
EMPAK- PX9150-04- 6" 150MM WAFER CASSETTE(25 SLOT) EMPAK- PH9150- 6" ULTRAPAK CASE(1 SET)
H-Square 150mm Flat Automatic Wafer Aligner AFEZ-5AC
Very Nice Clean Working Units Due To The Fact That We Do Not Have The Facility To Test Our Items Most Of The Items Are Sold“As Is” Where Is” Unless Noted Otherwise. No Warranties Implied Or Otherwise Are Offered Unless Stated. Be Sure To Carefully Check Out Our Photos! You Will Be Receiving The Exact Item Shown. Please Note: What Is Pictured Is What Is Included In The Sale Unless Otherwise Specified. If You Have Any Questions Regarding The Item Please Contact Us. In Many Cases We Can Get The System Tested And Operational. Refurbished Or Reconditioned. In Some Cases We Can Offer 30 To 90 Warranties And Service Contracts. For Pricing On This Capability Please Contact Us. We Do Our Best To Describe All Of The Items Accurately But If In Any Way An Item Has Been Described Wrongly Or Inaccurately Please Inform Us Within Three Days Of Receiving ... moreThe Item. There May Be Something We Miss Unintentionally So Before Leaving A Bad Or Negative Review Contact Me First. For Large Systems Please Contact Us For A Freight Quote Prior To Bidding! Please Include Your Zip Code And Tell Us If We Will Be Sending To A Business Or Residential Address. Please Also Let Us Know If You Have A Dock Or Fork Lift Available. We Will Work Hard To Get The Best Possible Freight Quote For You! Our Preferred Method Of Payment Is Paypal For Items Under $5.000. For Items With A Value Greater Than $5,000 We Require A Wire Transfer, Cashiers Check Or Company Check[Check Must Clear Prior To Shipping] If You Are Looking For Something Special And Don’t See It In Our Store Just Ask. If You Have Any Questions Regarding The Item Please Contact Us. Secondhand Semi– 602-318-9020
MACTRONIX Eureka II Jr Model UKA-825 Wafer Transfer 150mm Cassette to Quartz
MACTRONIX Eureka II Jr Model UKA-825 Wafer Transfer 150mm Cassette to Quartz Check Out: Purchase: Making purchases is easy and simple. To place an order for procurement. Please click the“Buy It Now” button. Follow to the next page for payment instructions. Payment: Click the“Pay Now” button at the top of the E-Bay page to proceed with the checkout and payment process. Payment must be made within 5 days of purchase. Accepted Forms: We accept PayPal. Or you may pay on your credit card via PayPal. When paying via PayPal. Payments must include your shipping address and should be submitted via the E-bay payment process. Sales Tax: For California customers. Sales tax is added and depends upon your location. If you are tax exempt. Please provide a copy of your Tax Exempt Certificate prior to check out. Please do not send payment before receiving ... morea revised invoice. Shipping: Shipping rates are the responsibility of the customer and are not refundable. Rates include handling. Packaging materials, label scanning and tracking information. Shipping rates are based upon the weight and dimensions of the package and your location. If we have packaging materials for smaller items. We include packaging and handling at no charge. If they are unavailable, we charge our cost. Please contact us for shipping, packaging, crating costs and exact weight prior to shipment as these will add weight to the items. Shipping rates may be posted on an item at smaller than actual size. Please verify shipping costs prior to check out. Insurance: Should you prefer insurance on your item. Please inform us PRIOR to check out so this may be added to the shipping cost. Insurance is not added unless specifically requested by the buyer. Processing Time: Once payment has cleared. We make every effort to ship your item within 1-2 business days. Larger capital equipment which requires crating may require a longer time to build the crate. Freight Shipment: Freight Shipment is the responsibility of the buyer. Although we will do everything possibl
6" EMPAK - X6125-01 -150MM WAFER CASSETTE (25 SLOT)
6" EMPAK- X6125-01-150MM WAFER CASSETTE(25 SLOT) Check Out: Purchase: Making purchases is easy and simple. To place an order for procurement. Please click the“Buy It Now” button. Follow to the next page for payment instructions. Payment: Click the“Pay Now” button at the top of the E-Bay page to proceed with the checkout and payment process. Payment must be made within 5 days of purchase. Accepted Forms: We accept PayPal. Or you may pay on your credit card via PayPal. When paying via PayPal. Payments must include your shipping address and should be submitted via the E-bay payment process. Sales Tax: For California customers. Sales tax is added and depends upon your location. If you are tax exempt. Please provide a copy of your Tax Exempt Certificate prior to check out. Please do not send payment before receiving a revised invoice. Shipping: ... moreShipping rates are the responsibility of the customer and are not refundable. Rates include handling. Packaging materials, label scanning and tracking information. Shipping rates are based upon the weight and dimensions of the package and your location. If we have packaging materials for smaller items. We include packaging and handling at no charge. If they are unavailable, we charge our cost. Please contact us for shipping, packaging, crating costs and exact weight prior to shipment as these will add weight to the items. Shipping rates may be posted on an item at smaller than actual size. Please verify shipping costs prior to check out. Insurance: Should you prefer insurance on your item. Please inform us PRIOR to check out so this may be added to the shipping cost. Insurance is not added unless specifically requested by the buyer. Processing Time: Once payment has cleared. We make every effort to ship your item within 1-2 business days. Larger capital equipment which requires crating may require a longer time to build the crate. Freight Shipment: Freight Shipment is the responsibility of the buyer. Although we will do everything possible to assist you with this
(2) Quartz Wafer Carrier Boats 6" 150mm 50 Slots
Used condition Quartz wafer boats. I have many. So please email if interested in more. Free shipping to 48 states. All others inquire. Thanks!
150mm Flouroware Wafer Cassettes A196-60MLB - Lot of 4
150mm Flouroware Wafer Cassettes A196-60MLB. Unsealed. Price is for 4 cassettes.
150mm 6" Wafer Thickness Resistivity Master 1189 Microns 3.12 Ohm-cm SO5567 MSA
I have many sizes/thicknesses and also resistivity wafers so please email if interested in more. Free shipping to 48 states. All others inquire. Thanks! ec2.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3Eec2-14b56bc7a34-0x113-
150mm 6" Wafer Case Only (no Wafer) Fluoroware Brand Used H93-60
Used cases with no wafer included. Free shipping to 48 states. All others inquire. Thanks!
150mm 6" Teflon Wafer Cassette A192-60M
Free shipping to 48 states. All others inquire! International shipping available. Thank you!