Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
278.78
Buy It Now
Free Shipping
Condition: New – Open box
Location: Chillicothe, United States
The product image is the actual item you will receive including all contents unless otherwise noted. We will take care of you! We are not an authorized ... moredealer of this product. This product has no manufacturers warranty.
$
350.00
Buy It Now
$80.00 Shipping
Condition: Used
Location: Temple, United States
Model Number: 300mm Wafer. Serial numbers or country of manufacture may vary.
$
1000.00
Buy It Now
Free Shipping
Condition: Used
Location: Gilroy, United States
This listing is for one used AMAT 0190-07247 SPELLMAN PWRSP DC 1.5KV 48 VDC 300MM HTESC, X2784. Thanks for shopping with us!
$
700.00
Buy It Now
$80.00 Shipping
Condition: Used
Location: Temple, United States
Model Number: 600120953.
$
75.00
Buy It Now
$10.00 Shipping
Condition: Used
Location: Caldwell, United States
Condition is Used. In addition to over 1million wafers ranging from 1”-12” of all variations.
$
68.00
Buy It Now
$11.98 Shipping
Condition: Used
Location: Ephrata, United States
Lot of 6 Silicon 300mm 12" Wafers with Different Lithography Designs. (Lot 1145). 6 wafers have various cuts and slices as shown in the photos. Very colorful ... morewith differing degrees of coloration and die / lithography designs.
$
125.00
Buy It Now
$10.00 Shipping
Condition: Used
Location: Caldwell, United States
$
34.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Compatible Wafer Size: 300mm. Wafer Slots: 25. Does Not Include Robotic Flange. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated ... morewith the manufacturer of this product. Original manufacturer's warranty does not apply.
$
80.00
Buy It Now
$60.00 Shipping
Condition: Used
Location: South Korea
$
359.99
6d 18h 48m 3s
0 bids
Condition: Used
Location: Leander, United States
Compatible Wafer Size: 300mm. (26) Shin-Etsu MW300GT-A 25-Capacity Clear Wafer Carriers w/Robot Flanges. Wafer Slots: 25. Robotic Flange: MW300G-M Black. ... moreDock or forklift?. Notes:The carriers were removed from a decommissioned setup.
$
185.00
Buy It Now
$120.00 Shipping
Condition: New – Open box
Location: South Korea
Manufacturer : Lam Research. We are willing to respond to your inquiry. Condition : New.
$
210.00
Buy It Now
$80.00 Shipping
Condition: Used
Location: South Korea
$
6750.00
Buy It Now
$24.50 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
A220-300BH52-0215 300mm Wafer Carrier. 52-wafer capacity.
$
240.00
Buy It Now
$16.10 Shipping
Condition: Used
Location: Lake Havasu City, United States
$
150.00
Buy It Now
$40.00 Shipping
Condition: New – Open box
Location: Temple, United States
Model Number.
$
279.99
Buy It Now
$16.15 Shipping
Condition: Used
Location: Seagoville, United States
$
120.00
Buy It Now
$60.00 Shipping
Condition: Used
Location: South Korea
$
24000.00
Buy It Now
$154.24 Shipping
Condition: New
Location: Saint Petersburg, United States
$
160.00
Buy It Now
$60.00 Shipping
Condition: Used
Location: South Korea
$
239.13
Buy It Now
$6.55 Shipping
Condition: New
Location: Buda, United States
Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson at getsparesllc. (See Hours of Operation, above). REQUIRED BY US LAW. Zhòngyào ... morede! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù.
$
200.00
Buy It Now
$60.00 Shipping
Condition: Used
Location: South Korea
$
260.62
Buy It Now
$20.27 Shipping
Condition: New – Open box
Location: Boise, United States
REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson at getsparesllc. We do repairs. (See Hours of Operation, ... moreabove). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù.
$
42000.00
Buy It Now
$91.77 Shipping
Condition: New – Open box
Location: Boise, United States
(See Hours of Operation, above). REQUIRED BY US LAW. WE BUY SURPLUS SEMICONDUCTOR PARTS. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. ... more重要的!!!如果没有有效的电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求。.
$
24.97
Buy It Now
$33.44 Shipping
Condition: Used
Location: Leander, United States
(1) Shin-Etsu MW300G-A FOSB Polycarbonate Wafer Carrier. Compatible Wafer Size: 300mm. The wafer carrier is in good condition. Wafer Slots: 25. Material: ... morePolycarbonate. The door opens normally and securely shuts.
$
109.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: TAS300. This TDK TAS300 is used working surplus. The physical condition is good, but there are signs of previous use and handling.
$
8999.00
Buy It Now
Condition: Used
Location: Singapore
Type: Heater 300mm Dual Zone T750 LPCVD. Make: Applied Materials (AMAT).
$
128.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: SB300. Serial numbers or country of manufacture may vary.
$
57.00
Buy It Now
$43.01 Shipping
Condition: Used
Location: Tucson, United States
See photos. Box can still bel open normally by sliding and unlocking the broken tab.
$
3000.00
Buy It Now
$25.00 Shipping
Condition: New – Open box
Location: Temple, United States
AMAT Applied Material Varian Implanter Graphite Liner. 300MM VIISTA. Stop, Liner, Graphite, Purified- 1. Ground Strap, Center Liner -1. Liner, Center, ... moreSide 2- 1. Liner, Center, Bottom, Purified, Textured -1.
$
800.00
Buy It Now
$35.00 Shipping
Condition: Used
Location: Killeen, United States
Item is in good physical condition.
$
2650.82
Buy It Now
$37.55 Shipping
Condition: Used
Location: Buda, United States
We do repairs. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Novellus C-1.
$
4200.00
Buy It Now
$130.00 Shipping
Condition: Used
Location: Killeen, United States
For 300mm Centura- Cool Etch.
$
120.00
Buy It Now
$60.00 Shipping
Condition: Used
Location: South Korea
$
895.00
Buy It Now
$45.00 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
We have many parts we have not had a chance to list yet.
$
599.99
Buy It Now
$25.00 Shipping
Condition: Used
Location: Hayward, United States
$
204.17
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Entegris SB300-06EN5-MAC 300mm FOSB is new surplus. The physical condition is great. Model No: SB300. Serial numbers or country of manufacture may ... morevary.
$
2800.00
Buy It Now
$400.00 Shipping
Condition: Used
Location: Ireland
300mm Chuck.
$
249.97
Buy It Now
$144.08 Shipping
Condition: Used
Location: Leander, United States
Questions? Call us: 1-877-328-9236. Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR. Manufacturer: Novellus Model: 02-298156-00 ... moreCondition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Novellus Condition: Used. Comes in original packaging. This heater pedestal is in good condition with a few minor scuffs. Scratches and light wear consistent with normal use. The bottom of the heater is in excellent condition as well and looks like it hasn't been touched(picture 3) Notes: No testing was performed. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Novellus 02-298156-00 Heater Pedestal 300mm Assembly, Specifications: Manufacturer: Novellus, Part# 02-298156-00, Revision: Rev. 3, Description: Heater Pedestal Assembly, Size: 300mm(12" Pre-Conditioned: Yes, Condition: Used, Quantity: 1, SKU: O04P013 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. DougDeals does not ship on buyer accoun
$
560.00
Buy It Now
Free Shipping
Condition: Used
Location: Palo Alto, United States
What's Included: APPLIED MATERIALS 0021-15693/ PLATE,BLANK-OFF,300MM CHAMBER. Up for Sale is aAPPLIED MATERIALS 0021-15693 unit. See photos for more details. ... moreWith our dynamic pricing model, our prices are always competitive.
$
499.00
Buy It Now
$24.42 Shipping
Condition: For parts or not working
Location: Haverford, United States
Newport/Kensington Prealigner. This appears to be for 300mm wafers. This unit has precision linear and rotary motion, with encoders. The wafer holder ... morehas a vacuum pass-through. I believe it also has a laser and CCD detector to align the wafer.
$
2004.24
Buy It Now
Condition: Used
Location: Albuquerque, United States
Removed from a KLA-Tencor 300mm WaferSight 1 Optical Inspection System. This Brooks Automation 002-7200-21 is used working surplus. The physical condition ... moreis good, but there are signs of previous use and handling.
$
2500.00
Buy It Now
$60.00 Shipping
Condition: Used
Location: South Korea
$
1495.56
Buy It Now
Condition: Used
Location: Buda, United States
Your Source for Spares & Repairs. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson at getsparesllc. (See Hours of Operation, ... moreabove). REQUIRED BY US LAW. Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù.
$
305.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: F300 AutoPod. This Entegris F300 AutoPod 300mm Wafer Carrier Green 25 Count is used working surplus. The physical condition is good, but there ... moreare signs of previous use and handling.
$
46.56
Buy It Now
Free Shipping
Condition: New
Location: Chino, United States
(Working Area: 300x240mm;. A. Put the stencil on the stencil bracket (2) after cleaning stencil, adjust the embossing. (1) 3)Rotate the upper and lower ... moreadjusting handle (1) to adjust the height of stencil bracket.
$
895.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Agoura Hills, United States
$
44.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Manufacturer: Kakizaki. Wafer Slots: 25. Wafer Size Compatibility: 300 mm. Door: Twist Lock, KTL-3004A-2. CDN Systems LLC, DBA Doug Deals, is not an authorized ... moredistributor or affiliated with the manufacturer of this product.
$
198.56
Buy It Now
$10.51 Shipping
Condition: Used
Location: Boise, United States
(See Hours of Operation, above). REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson at getsparesllc. Brooks/Equipe/PRI ... more(For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. ).
$
4497.56
Buy It Now
$41.08 Shipping
Condition: New
Location: Buda, United States
Novellus C-1. REQUIRED BY US LAW. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct ... moreLine. Nicole Jackson at getsparesllc. (See Hours of Operation, above).
$
27499.99
Buy It Now
Condition: For parts or not working
Location: Phoenix, United States
ASM Pulsar 3000 ALCVD Atomic Layer Chemical Vapor Deposition Reactor Process Module. Specifications are from ASM and may vary slightly due to upgrades, ... moreoptions, or revisions this unit may or may not have.
$
44.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Manufacturer: Kakizaki. Wafer Slots: 25. Wafer Size Compatibility: 300 mm. Twist Lock Opening. The carrier is in good, used condition with minor scuffs ... morefrom previous use. Door Type: Manual Open/Close.
$
25500.82
Buy It Now
$80.13 Shipping
Condition: New – Open box
Location: Boise, United States
REQUIRED BY US LAW. (See Hours of Operation, above). Nicole Jackson at getsparesllc. Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn ... morezhù. Měiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
1846.00
Buy It Now
$225.00 Shipping
Condition: New – Open box
Location: South Korea
Manufacturer : Lam Research. We are willing to respond to your inquiry. Condition : New.
$
428.51
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: PR300Z 300mm Wafer Spin Cassette. Copper Exposed.
$
44.99
Buy It Now
$36.38 Shipping
Condition: Seller refurbished
Location: Leander, United States
Compatible Wafer Size: 300mm. Wafer Slots: 25. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of ... morethis product. Original manufacturer's warranty does not apply.
$
2450.56
Buy It Now
$22.46 Shipping
Condition: New – Open box
Location: Austin, United States
Novellus C-1. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson ... moreat gets S paresllc. (See Hours of Operation, above).
$
64000.00
Buy It Now
$134.40 Shipping
Condition: Used
Location: Dallas, United States
AMAT Part#: 0010-27983. 300MM MCA E-CHUCK ESC HEATER. Osan-si, Gyeonggi-do, South Korea. International Sales.
$
253.56
Buy It Now
Free Shipping
Condition: New – Open box
Location: Buda, United States
WE BUY SURPLUS SEMICONDUCTOR PARTS. (See Hours of Operation, above). REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. ... moreFive-one-two-nine-two-eight-five-five-five-three Corporate Office.
$
150.00
Buy It Now
$82.45 Shipping
Condition: Used
Location: Portland, United States
With its bright yellow color, it's easy to spot and identify, making it a great addition to any workplace.
$
500.00
Buy It Now
$300.00 Shipping
Condition: Used
Location: Killeen, United States
AMAT Applied Materials Chamber Stands. For 300mm Process Chamber.
1 2 3 4 5 6 7 8 9 10
 In 

Former Listings  
 
Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
Applied Materials 0010-13753 Assembly. 300mm Mainframe Wafer Sensor. Guaranteed not DOA. Check out my! M1A2D
iselRobotik IPA-812-VKS3-1-HP WAFER ALIGNER, 300 MM HIGH.
iselRobotik IPA-812-VKS3-1-HP WAFER ALIGNER. 300 MM HIGH. Domestic or International. We can also ship on your UPS, Fed Ex or DHL account. Powered by The free listing tool. List your items fast and easy and manage your active items.
$2 Million - Diafuku and YAC AMHS Equipment for 300 mm Wafer Storage System
Diafuku and YAC AMHS Equipment for 300 mm Wafer Storage System Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Description: 245 FOUP Roller Conveyors by YAC Co Lts CLS-50 IIStocker Daifuku Rackmaster Unit(horizontally expandable) 4 FOUP(Front Opening Unified Pod) I/O Stations 20 Turntables 9 Position Units(OHT) These items were affected by clean water leaks from above and are part of an insurance claim. Looking for offers. Stored in a warehouse. This system was never installed. According to our sources. The unit was never directly affected by the water leak but only touched the pallets they were sitting on. System cost $2 million originally. The complete equipment list is under the photos. You can click on any photo above and it will enlarge ... morethe image. You are welcome to come to our warehouse after July 20th to view and inspect the units! SHIPPING/HANDLING: PLEASE NOTE THAT ALL SHIPPING FEES LISTED ARE FOR THE 48 US STATES. IF YOU LIKE IN HAWAII OR ALASKA. SHIPPING WILL BE MORE. We are surplus dealers meaning we deal with many items over a wide range of categories. We do our best to describe each item but we are not experts on any one item or category. If it is not pictured. It is not included. If you have questions. Please write us prior to bidding. Problems: If you have a problem with an item when you receive. Please write us or call us at 214-808-2451. We will work with you to resolve the issues. ABOUT US: The CEO of this company has over 17 years of experience selling on ebay and the internet. Our products can be found on ebay. Amazon and the web. is a subsidiary of Interstateblue. Inc. Other companies in our family include Interstateblue(Amazon) and Interstateblue(website) WARRANTY/ RETURNS: Should you experience a problem with your order or product. Please do the following: 1. Contact us. A. Email us through the ebay system. B. Call 214-808-2451. We cannot resolve your issue if you do not give u
Asyst 300 mm EFEM Wafer Handler SMIF-300FL
Asyst 300 mm EFEM wafer handling system. Previously part of an Applied Materials Inspection tool. Tool was recently taken off the line. System includes pre-aligner. Load ports, end effector, etc. Full manufacturer manuals and documentation provided. ag14.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*bd%3Eag14-14016067686-0xff-
300 mm Silicon Single Wafer Shipper 12" , Entegris H93-120-101. Lot of 8 Qty.
Eight quantity polycarbonate silicon wafer shippers or sample trays in like new condition. Some of these were never used. And a few were very lightly used. No scratches are evident on any of the shippers. For some reason, two of the internal cushions are missing on one of the shippers. Eight-cushion wafer retention system holds the wafer securely during storage and shipping Wafer cushions hold the wafer edge only. Limiting wafer surface contact Dual lever latches provide secure. Reliable closure Stacking features allow shippers to stack safely and efficiently Additional packaging required to protect wafers during shipment Designed for wafer device-side down for simple wafer insertion and removal Clear polycarbonate material allows easy wafer viewing Multiple access areas simplify wafer insertion and removal with a vacuum wand or tweezers ... morePin in socket hinges allow the shipper to be opened horizontally. Providing stability for wafer handling Keyed cushions assemble only one way for simple cushion replacement Smooth surfaces allow efficient cleaning and drying The H93 Series 300mm Single Wafer Shipper is a component of Silicon Delivery™ Systems and Services– Entegris’ unique materials integrity management solution to cost effectively manage silicon from production to consumption. This offering brings together wafer and device handling systems and services into a customized. Coordinated package that works for you. Cleanroom manufactured and packaged Overall size(L × W × H) 336mm × 340mm × 50mm(13.2" × 13.4" × 1.9" Shipper material: polycarbonate Alternative shipper material: STAT-PRO ® 500. For availability Cushion material: polypropylene Shipping to lower 48. US Only
Shin Etsu FOUP 300 EX for 300 mm wafers
Up for sale is up to 150 x FOUP Shin Etsu EX 300 for 300 mm wafers. All in good condition. But items are used. sold by Units 336.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3E336-143d282ea10-0x103-
300 mm Silicon Wafer Shipping box 12" cleaned and bagged by Advantix
Cleaned and bagged by Advantix. 300 mm wafer shipping box.
Brooks PRI AUTOMATION EQUIPE Prealigner 300 mm model 300B-CE working condition.
The Item is in a Good working condition.tested and calibrated. let me know if you need more information.
KYOCERA 300 MM ESC Assembly P1-C , Chuck
KYOCERA 300 MM ESC Assembly P1-C. Chuck- FREE SHPPING FEE. CONDITION- Used SELL AS-IS-SHIPPING- Winning bidder will get free Shipping and Handling. Normally it will take less than 10 working days to deliver to winning bidder. ★ PLEASE LET US KNOW YOUR CONTACT NUMBERS VIA E-MAIL FOR EASY AND QUICK DELIVERY. OR CHANGE YOUR PERSONAL INFORMATION BEFORE YOU BID. ★-Return Policy- Please contact us first before returning. Return can be accepted within 14 days after item’s arrival. And it has to be in the original condition as it was sent out. Buyer is responsible for the postage of the returned item. And the original postage cost is not refundable. If you would like to exchange an item. Additional postage charge also will incur for dispatching the item back to you. Payment- Paypal Only
AMAT 0010-37176 ESC Assembly, Chuck, 300 MM
AMAT 0010-37176 ESC Assembly. Chuck, 300 MM- FREE SHPPING FEE. CONDITION- FOR PARTS NOT WORKING Need repair-SHIPPING- Winning bidder will get free Shipping and Handling. Normally it will take less than 10 working days to deliver to winning bidder. ★ PLEASE LET US KNOW YOUR CONTACT NUMBERS VIA E-MAIL FOR EASY AND QUICK DELIVERY. OR CHANGE YOUR PERSONAL INFORMATION BEFORE YOU BID. ★-Return Policy- Please contact us first before returning. Return can be accepted within 14 days after item’ s arrival. And it has to be in the original condition as it was sent out. Buyer is responsible for the postage of the returned item. And the original postage cost is not refundable. If you would like to exchange an item. Additional postage charge also will incur for dispatching the item back to you. Payment- Paypal Only