Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
399000.00
Buy It Now
Condition: Used
Location: Buda, United States
REQUIRED BY US LAW. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. (See Hours of Operation, above). Zhòngyào de! Rúguǒ méiyǒu zhèxiē ... morexìnxī, tā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ yāoqiú.
$
190000.00
Buy It Now
Condition: Used
Location: South Korea
ITEM ONLY INCLUDE EFEM, T/M AND A/C RACK (CHAMBER AND GENERATOR RACK NOT INCLUDED). 300MM CENTURA AP ENABLER ETCH SYSTEM. CENTURA AP T/M - VHP+ ROBOT ... moreASSY, NSK DRIVER(0190-17853). (CHAMBER & GENERATOR RACK NOT INCLUDED).
$
39000.00
Buy It Now
Condition: For parts or not working
Location: Freehold, United States
Dual chamber unit with robot loader. Currently configured with nine MFC. Was lasted used to process 4" wafers.
$
17500.00
Buy It Now
Condition: Used
Location: Christiansburg, United States
(3) Do you have a dock or a forklift?. This fee includes strapping the item to a skid, wrapping with stretch wrap, cardboard, and bubble wrap when needed.
$
1302.24
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Hitachi High Technologies 3-841402-02 300mm Etch System Plate is new surplus. The physical condition is great. Serial numbers or country of manufacture ... moremay vary.
$
55000.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
Vacuum load lock with wafer transfer robot. Can process wafers from 2” to 8” depending on which process kit is installed. High frequency RF-based inductively ... morecoupled plasma source capable of high density plasma generation.
$
1950.00
3d 19h 5m 38s
0 bids
$114.28 Shipping
Condition: Used
Location: San Diego, United States
$
5625.00
Buy It Now
Condition: For parts or not working
Location: Bosque Farms, United States
For us to check rates, we need the following info: 1. We are quick to respond and resolve. We do not have power cords to provide unless they are attached ... moreto the item or unless stated that they are provided.
$
3200.00
Buy It Now
Condition: Used
Location: Vista, United States
Technics PE II Planar Etch II Plasma Etcher Asher with 13.56 MHz RF Generator Description Technics PE II Planar Etch II Plasma Etcher Asher with 13.56 ... moreMHz Model 750 RF Plasma Generator. The Technics PE-II is a plasma-induced etcher that can be used for photoresist ashing. Surface cleaning, and surface treatment and/or etching of various materials. This is equipped with a RF energy power supply(13.56 MHz) and is used with process gas. The RF power is variable allowing the operator to tailor the plasma density to suit the etching requirements. The system is equipped with a heater and thermocouple to monitor the temperature. This item requires freight shipping please contact us for a quote prior to purchasing. Manufacturer Technics Manufacturer Part Number PE II Item/Ship Weight 153 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item may require palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 44235 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc.
$
703.24
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Serial numbers or country of manufacture may vary. Part No: ES3D10-201275-V1.
$
9499.99
Buy It Now
Condition: For parts or not working
Location: Phoenix, United States
Model Number: 590B. Narrow electrode spacing and high power densities create an intense, focused plasma that promotes high oxide etch rates with low DC-biases. ... moreAutoEtch 590 Exclusive Features Specifications are from Lam and may vary slightly due to upgrades, options, or revisions this unit may or may not have.
$
1750.00
Buy It Now
Free Shipping
Condition: Used
Location: Hollister, United States
This Hitachi Robot is used working surplus. The physical condition of the robot is good and clean. It was removed from a Hitachi M-712E etch system, factory ... moreInterface. Specifications: ---Descriptions: Hitachi Robot M-712E Etch System, Factory Interface Condition: used tested working , 90 day warranty Estimated Packed Shipping Dimensions: L x W x H = 87 lbs. (22”x 22”x 40”) Freight, contact for shipping rate estimate.
$
19250.00
Buy It Now
Condition: Used
Location: Longwood, United States
Plasma Etch BT-1/C Plasma Etcher / Plasma Cleaner. Includes vacuum pump. 70"x36”x32”Unit Weight.
$
1000.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Aspect System PCB Board A810-9281.
$
169.99
Buy It Now
Free Shipping
Condition: New
Location: Hayward, United States
$
1000.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Aspect System PCB Board A810-9281.
$
19948.95
Buy It Now
Condition: Used
Location: Singapore
Item ID: 32553. Kindly review images thoroughly before purchasing. Part Number: 853-038269-624. We also do not price match against sites with on-going ... moreflash sales. We will review and approve your price match request on a case by case basis.
$
4999.99
Buy It Now
$500.00 Shipping
Condition: Used
Location: Killeen, United States
South Bay Tech Ion Beam Sputtering/Etching System, Model STM-100/MF, Serial Number IBSE1516. Condition is Used.
$
1351.90
Buy It Now
$600.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
LFE Corporation PFS/PCrE/PDS-501 ICP Barrel Type Plasma Chrome Etch System Description LFE Corporation PFS/PCrE/PDS-501 ICP Barrel Type Plasma Chrome ... moreEtch System diameter of interior barrel: 13 1/2" This item requires freight shipping please contact us for a quote prior to purchasing. Manufacturer LFE Corporation Manufacturer Part Number PFS/PCrE/PDS-501 Item/Ship Weight 282 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item may require palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 44794 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package
$
7800.00
Buy It Now
$181.90 Shipping
Condition: Used
Location: Claymont, United States
$
611.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Veeco Microetch 1201 Ion Beam Etching System. This Veeco Jig Assembly Microetch 1201 Ion Beam is used working surplus. The physical condition ... moreis good, but there are signs of previous use and handling.
$
1003.24
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Serial numbers or country of manufacture may vary.
$
32000.00
Buy It Now
Condition: Used
Location: Switzerland
STS / CPX Multiplex is a high-precision etcher-asher designed for the production of high quality microelectronic systems. CPX Multiplex has a 4-axis robotic ... morearm that can position the substrate at any angle, and the laser beam can be directed with precision.
$
225.25
Buy It Now
Condition: Used
Location: Buda, United States
REQUIRED BY US LAW. (See Hours of Operation, above). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 ... more电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
50000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
Is there a Dock or Forklift available?.
$
89.00
Buy It Now
$6.05 Shipping
Condition: New
Location: Alviso, United States
Factory sealed Germanium wafer. P-doped with gallium, polished on one side and ground on the other. International Sales. PO Box 1134.
$
201.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi Etcher Recorder Printer Assembly is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... moreRemoved from a Hitachi M-511E Microwave Plasma Etching System.
$
69999.99
Buy It Now
Condition: Used
Location: San Diego, United States
Model type: 800+RIE. In others, we can do very little or no testing. If we do not say it is ?. tested and working properly?., we can only promise that ... moreit will perform the tests described, and nothing more.
$
4999.99
Buy It Now
$218.00 Shipping
Condition: Used
Location: Milton Freewater, United States
THIS IS A WORKING PLASMA ETCH PE-50. W/0113 RF POWER SOURCE.
$
79200.00
Buy It Now
$659.00 Shipping
Condition: Used
Location: Singapore
$
4500.00
Buy It Now
Condition: Used
Location: Homosassa, United States
Set up for etching on PCB’s with Gold Pockets. Pickup location Homosassa, FL 34446. This item is in used condition. The photos are of the actual item ... morebeing sold. Skidding Fee.
$
1673.10
Buy It Now
$1200.00 Shipping
Condition: Used
Location: Ventura, United States
Click here to see description.
$
3000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
$
1758.49
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi M-511E is used working surplus. The physical condition is good, but there are scratches from previous use and handling. Removed from a M-511E ... moreMicrowave Plasma Etching System. Removed from a M-511E Microwave Plasma Etching System.
$
26750.00
Buy It Now
Condition: Used
Location: Palisades Park, United States
MRC 822 SputterSphere. Was in operation until removed from service.
$
8754.97
Buy It Now
$244.78 Shipping
Condition: For parts or not working
Location: Canada
$
1750.00
Buy It Now
$58.43 Shipping
Condition: For parts or not working
Location: Alviso, United States
Supplier PN: 20080920 Rev.01. RF Input: 1000 W CW. RF Output: 2500 Vrms @ 15 Arms. The manufacturer of the complete system-level electronic product is ... moreresponsible for complying with 21 CFR 1040.10 and 1040.11 and for providing the user with all necessary safety warnings and information.
$
120.00
Buy It Now
$119.22 Shipping
Condition: New
Location: Saint-Égrève, France
Applied Materials 0200-09199 Tube. Plasma Quartz( New; lot of 5) We ship domestically and International. This items is available for local pick up or ... morebuyer pays actual shipping costs using the buyers UPS or Fed Ex account number only. 1) We prefer PayPal to all new customers. 2) We prefer a company check or cashier check to established customer's. 3) International orders over $500.00 we require a wire transfer. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf54%3E-146a9d5b046-0x10e-
$
3334.10
Buy It Now
$600.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Tegal Corp 803 Inline Automatic Wafer RF Plasma Etcher Untested As Is Description Tegal Corporation 803 Inline Inline Automatic Wafter RF Plasma Etcher ... moreUntested As Is Many Useful Parts Cover removed in photos to show component views. This tool is heavy. Nearly 400 pounds, and will require freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Corp Manufacturer Part Number 803 Item/Ship Weight 350 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42622 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still sho
$
505.13
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This DNS SLAM Etch Tank Module is used, working surplus. This item was removed from a DNS Dainippon Screen FC-3000 System. Removed from a DNS Dainippon ... moreScreen FC-3000 Wet Station System. Part No: TANK#1 SLAM Etch.
$
75000.00
Buy It Now
Condition: Used
Location: Schenectady, United States
Surface Technology Systems MXP Multiplex ICP ASE HR Silicon Etcher Specifications: Process: Silicon Etch Bosch Wafer size range: 50 mm to 200 mm Set size: ... more150 mm Loadlock with Edwards E2M40 Vacuum Pump High vacuum pump: Pfeiffer MAG 2000 Roughing vacuum pumps: Edwards IQMB250 and IQDP80 RF Generator: ENI Power Systems ACG-3B and Advanced Energy RFG 3001 Number of gas inputs: 4 Process gases: SF6. C4F8, O2, Air Huber/Unistat 140W Chiller Accessories: ICP V2- Balun coil Mechanical Clamp Helium Backside Cooling Carousel in MkIV MPX- 2 x 150mm wafers 3KW Source 300/30W platen E-Rack Modules: HCL1 +HCU3 +HCU5+VAC3Y +2x AMC1 +HBC2 Windows 2000 Bosch license CE Marked Current Power Requirements: 400V. 50Hz, 40 Amp, 3 phase Unit can be configured for: 208/460. 60 Hz, 3 Phase. Please request at time of purchase. Manufactured in 2003. This system has not been tested. The equipment we sell is made fully functional and sold with our 90-day parts warranty. Unless stated otherwise. Once an order is received the system will go through our testing/refurbishing process. Please contact us for lead time. Potential buyers are more than welcome to visit our facility to inspect the equipment prior to purchase. Note that items will not be operational in this instance. We also encourage our customers to visit our facility for the final source inspection and sign off on equipment being purchased. Please contact us at 518-346-8347 or email us at cbi@capovani.com for additional information. Shipping and handling costs are the responsibility of the buyer. We cannot quote actual shipping and handling costs. Until the item is prepared for shipment. We sell internationally. However some equipment cannot be exported to certain countries, companies or individuals, due to US export control regulations. We are unable to accept credit cards or PayPal for purchases of this size. Payments can be made via wire transfer or via company check. With approved credit. Payment arrangements can be discussed on a per transaction basis. Please ask any quest
$
8250.00
Buy It Now
$700.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Click here to see description.
$
608.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
For use with a AMAT Applied Materials Precision 5000 P5000 CVD Etcher System. This AMAT Applied Materials 0030-09057 Front Bezel is used working surplus. ... moreThe physical condition is good, but there are signs of previous use and handling.
$
7500.00
Buy It Now
Condition: Used
Location: Phoenix, United States
Automated Plasma, Inc. Self Contained Plasma Etching System PC Boards. Stokes Pennwalt 3Q6-41 Vacuum system with blower. Pyramid Cabinet, Front Loading, ... moreSix (6) Panel.
$
119.99
Buy It Now
$19.23 Shipping
Condition: Used
Location: Leander, United States
Questions? Call us: 1-877-328-9236. Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch. Manufacturer: Applied Materials AMAT ... moreModel: MXP2GDPMOS12 Condition: Refurbished by Manufacturer Price: Cosmetic appearance may vary slightly from pictured unit(s) Manufacturer: Applied Materials AMAT Condition: Refurbished by Manufacturer. Comes in non-original packaging. This mounting plate is in great condition. This plate was sent off to be professionally cleaned and was put back into stock as a spare. Notes: No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Applied Materials 0020-10246 Quartz Lift Assembly Mounting Plate, Specifications: Manufacturer: Applied Materials(AMAT) Model: MXP2GDPMOS12, Part# 0020-10246, Description: Quartz Lift Assembly Mounting Plate, For Use With: MxP+ Oxide Etching System, Weight: 1.40 lbs. Condition: Refurbished(Cleaned) Cleaned By: Quantum Clean, Times Cleaned: 1, Quantity: 1, SKU: M35P024 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available
$
8500.00
Buy It Now
Condition: For parts or not working
Location: Phoenix, United States
Specifications are from Thermo Neslab and may vary slightly due to upgrades, options, or revisions this unit may or may not have. A 6 kilowatt heater ... moreis located in the fluid recirculating system. Model: STEELHEAD 0.
$
3599.00
Buy It Now
Condition: For parts or not working
Location: South Korea
This Bal-Tec RES-101-GVN Rapid Etching System is used working surplus. The physical condition is good. But there are signs of previous use and handling. ... morePart No: RES-101-GVN 90-260VAC. 50/60Hz, 1000VA Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 42"x42"x48" 300 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number, date or country of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 11 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may var
$
13775.00
Buy It Now
Condition: Used
Location: Goleta, United States
The original display screen has been replaced with a new screen. Powers on, buttons and digital screen respond well to input. We ran a complete cycle ... morewithout the introduction of any gas and resulted in visible plasma within the chamber.
$
160000.00
Buy It Now
Condition: Seller refurbished
Location: North Billerica, United States
This is a newly refurbished system less PLC control. system was upgraded to touchscreen user interface. All parts have been replaced or rebuilt to factory ... morespecs.
$
28025.00
Buy It Now
Condition: Used
Location: Goleta, United States
Tamar Technologies WaferScanner w/ Wafer Chucks + Software & User Manual (7137)R In good cosmetic condition. Powers on. Shows a clear image. Objective ... moreturret hold 5 objectives and it rotates well. X and Y stage axis move in all direction with the joystick. May need calibration. See pictures for more detail. Includes: Tamar Waferscanner w/ Granite Isolation Slab Software (See pictures for more detail) ​Software User Manual Objectives Manufacturer: Olympus LmPlanFLN 5x/0.13 | ∞/-/FN26.5 10x/0.25 | ∞/-/FN26.5 20x/0.40 | ∞/0/FN26.5 50x/0.50 | ∞/0/FN26.5 100x/.80 | ∞/0/FN26.
$
811.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Veeco Microetch 1201 Ion Beam Etching System. This Veeco System Communication Cable Set of 7 is used working surplus. The physical condition ... moreis good, but there are signs of previous use and handling.
$
20462.03
Buy It Now
$311.64 Shipping
Condition: New
Location: Singapore
Item ID: 21878. We also do not price match against sites with on-going flash sales. Condition: New. We will review and approve your price match request ... moreon a case by case basis. PRICE MATCH POLICY. More information.
$
154.57
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi RYY-1 PCB Board is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from a ... moreHitachi M-712E Dry Etcher System. Removed from a Hitachi M-712E Dry Etcher System.
$
5999.00
Buy It Now
$99.72 Shipping
Condition: Seller refurbished
Location: Saint-Égrève, France
Item up for sale is a: LAM Research 13.56MHz RF Match Network Poly Etch Autotuner Wafer Processing Part NO. 832-038915-001 Item is used and is untested ... moreother than what is indicated below: If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. ~51 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. ============================================================================ Terms of Sale Payment Options PayPal only or contact us for payment methods to pay on pickup. Bank transfers or payments using a Cashier’s Check may be available. Contact us for additional information and fees. RETURNS Warranty for Items shipped in the US 14-Day Right to Return- Buyer has 14 days to test item to determine its operational condition unless it was listed as Parts-Only or As-Is. Should the item be received non-operational you may return the item for refund of the purchase price. 14-day period starts the day you receive the item. You cannot return an item because it is not compatible with the system you installed the item into. Please insure that the item you are purchasing is compatible with the application or in the system you intend to use it. Warranty for Items shipped outside the United States All international sales shipped to a destination outside the US are non-refundable. All international sales are final. SHIPPING Shipping within the United States Buyer is responsible for all shipping costs including returns. Please note for domestic shipments(within US) we ship FedEx ground only. But you can use UPS ground shipping rates(calculated automatically by eBay) to estimate the shipping charges. Actual FedEx ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FedEx does not ship to
$
2499.00
Buy It Now
$1880.00 Shipping
Condition: Used
Location: Israel
2008 pva tepla TECHNICS 100 plasma system Asher System Etcher Cleaner + Pump. Downstream microwave frequency O2 plasma asher for photoresist descum. 2.45 ... moreGHz regulated magnetron, 0-300W power. Max Short-Current Circuit: 16 A.
$
11499.99
Buy It Now
Condition: For parts or not working
Location: Phoenix, United States
Model Number: 1CM53. Wide range of wafer sizes can be polished, up to 200 mm to single integrated circuit (IC) capability. This unit looks to be in great ... morecondition. There are 2 connectors that are not plugged in to anything in the bottom.
$
7999.99
Buy It Now
$170.70 Shipping
Condition: For parts or not working
Location: Salem, United States
The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies.
$
4724.99
Buy It Now
Condition: Used
Location: Phoenix, United States
Ultron Systems UH110-8 8" (200mm) Wafer Backgrinding Semiautomatic Protective-Film Remover. Ultron Systems' Model UH110 and UH110-8 Semiautomatic Film ... moreRemovers demount film from 3" to 8" (this unit is setup for 8") wafers after the backgrinding or etching process.
$
249.00
Buy It Now
$78.00 Shipping
Condition: New – Open box
Location: Singapore
Intevac inc. Industrial Single Board Computers. R007 Conn Cable Assy drive to Motor Connection.
$
355.35
Buy It Now
$58.00 Shipping
Condition: New – Open box
Location: United Arab Emirates
00344035S02 ST. SMT Feeder Worm short Assy. ASM Assembly Systems. (A new, unused item with minor signs of wear. Furthermore, any noticeable issue will ... moredescribe with the item specification above before this portion. ).
1 2 3 4 5 6
 In 

Former Listings  
 
Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
Questions? Call us: 1-877-328-9236. Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System. Manufacturer: Applied Materials AMAT Model: 0020-19002 Condition: Refurbished by Manufacturer Price: Manufacturer: Applied Materials AMAT Condition: Refurbished by Manufacturer. Comes in non-original packaging. This slit valve door is in great condition with a few nicks and scratches from normal use. But nothing major. This valve door was sent off to be professionally cleaned and was put back into stock as a spare. Notes: No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Applied Materials 0020-19002 Slit Valve Door, Specifications: Manufacturer: Applied Materials(AMAT) Model: 0020-19002, Description: Slit Valve Door, For Use With: MxP+ Oxide Etching System, Weight: 0.70 lbs. Condition: ... moreRefurbished(Cleaned) Times Cleaned: 1, Quantity: 1, SKU: M36P001 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. DougDeals does not ship on buyer
Parker Technology PS2000 Thin Film Gap Etch Metrology System (GEMS)
Parker Technology PS2000 Thin Film Gap Etch Metrology System(GEMS) Description Parker Technology PS2000 Thin Film Gap Etch Metrology System(GEMS) The PS 2000 Titration Analyzer is a microprocessor-based system designed to perform a variety of wet chemical analysis procedures. Such as direct pH measurement or pH, ORP or colorimetric titrations. Requires DI Water 1/4" NPT. Oil Free Air 1/2" NPT-F, Nitrogen 1/4"NPT-F, Waste Line Power 120/230 VAC RJ-11 Phone Line Includes Users Manual and Engineering Documents This item requires freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Parker Technology Manufacturer Part Number PS2000 Item/Ship Weight 1437 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during ... morenormal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 36583 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so
Trion Oracle Plasma Etch & Deposition System
Trion Oracle Plasma Etch& Deposition System Description Trion Oracle Plasma Etch& Deposition System Varian Turbo-V 300 ICE MacroTorr Turbo Pumps Varian MoniTorr Transducer and Controller MKS Type 153 Control Valve Trion Gas Cabinets with Control Valves Trion Computer with controller cards This item requires freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Trion Manufacturer Part Number Oracle Item/Ship Weight 1530 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the ... moreexact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 43734 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The c
Plasma-Therm Inc.AMNS-3000E Reactive Ion Etch System w/RF Controller and PS L140
Used Plasma-Therm Inc. AMNS-3000E Reactive Ion Etch System with RF Controller and Power Supply. Including(1) APS/PST, 1) MPS-1, 1) APS-3 Programmable Sequencer, 1) APCS-3(1) AMNPS-1, 1) RF Plasma Products HFS 3000 D, 1) Vacuum General 78-6 Pressure Indicator, 1) Vacuum General 78-2 Throttle Valve Control, 1) Vacuum General 77-4 Gas Ratio Flow Control. 115V 50/60Hz. Vintage 1979. Directive for Customer Service Questions: During business days. We will make every effort to respond to your emails as soon as possible. If you have any questions about this item or other items in our list, please contact us prior to bidding. Over the weekend or holidays, the questions will be answered in the first opportunity afterward. Sold As-Is. Where-Is: Most of the items listed were used in one form or another in our laboratory for different research and development ... moreprojects. And are now sold due to the termination of these projects. At this stage we are not able to completely test and calibrate the items, therefore, all items are sold as-is. Where-is, without warranty or guarantee. Unless specified in the listing. All sales are final. Please ask all pertinent questions regarding the condition of the item before you make your purchase. As your selection may prohibit other customers from bidding. The operation of the items is the customer’s sole responsibility, and he/she must have the professional skills to safely operate them. We reserve the right to refuse a return based on customer not fully understanding the item description and the proper way of operation or changing his/her mind. DOA or Incorrect Product: All items described to be in operational condition but received Dead on Arrival(DOA) can be returned for replacement or refund(when no replacement is available) Only if we are notified within 7 days of receipt of product. Compensation will be provided upon actual return receipt, physical examination, testing, and serial verification of the item and all sent accessories. If any one of these criteria is not met,
LFE Corporation PFS/PCrE/PDS-501 ICP Barrel Type Plasma Chrome Etch System
LFE Corporation PFS/PCrE/PDS-501 ICP Barrel Type Plasma Chrome Etch System Description LFE Corporation PFS/PCrE/PDS-501 ICP Barrel Type Plasma Chrome Etch System diameter of interior barrel: 13 1/2" This item requires freight shipping please contact us for a quote prior to purchasing. Manufacturer LFE Corporation Manufacturer Part Number PFS/PCrE/PDS-501 Item/Ship Weight 282 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers ... moremay not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item may require palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 44794 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package
Tegal Etcher / Etch System Model 1611
Used Tegal 1611 Etcher/ Etch System. Item has not been tested recently but is in good. Working condition and was previously used in production at our facility. Other information available upon request. No warranty expressed or implied. DOA items will be refunded within 7 days of ROR. International shipment charges will vary by location. Make us an offer! Seller is a manufacturer of analog. Mixed-signal and optoelectronic components and subsystems and is located in Fort Collins, CO. Please check out our store here for more items: Applicable sales tax will be collected on all orders shipped to Colorado.
PlasmaQuest Model 102 ECR Etch system
PlasmaQuest ECR Reactor S/N 9104-A 208 volt 3 phase 35 amp RFPP RF10S power supply 13.56Mhz AX2110 1000W microwave Power Generator 5 Gas Sticks Verity Endpoint detector Astex AX3120 System was pulled from R&D Lab. PM was completed prior to removal from lab 3/2013. System has been fully cleaned. Decommissioned and ready to ship Buyer is responsible for crating and shipping cost. Please contact if assistance is needed with local crating service.
Tegal Plasmaline Model 421 Barrel Etch Plasma System Wafer Etcher w/ Gauges
This listing is for a Tegal Plasmaline Model 421 Barrel Etch Plasma System Wafer Etcher w/ Gauges Local Pickup always Welcome! Dallas. TX_ For Sale is a Tegal Plasmaline Model 421 Barrel Etch Plasma System Wafer Etcher including Power Supply. SR-250D Gauge, Fischer& Porter Gauge Model 10A1337, and Operating Manual. This unit has been tested working properly. This unit is in good condition and has the regular use marks for an item like this. We not sure how many hours have been put on this Plasmaline but it was taken from a working enviroment. Message me if you would like to get a shipping quote for Freight. Please let me know if you have any questions or concerns. Does not include original packaging. We have described this item to the best of our knowledge and ability. Please carefully examine each of the photos and ask me if need any ... moreother information before you purchase. Each of our items is carefully packaged at our warehouse to ensure a safe shipment. After winning this auction you will be sent a tracking number for your item. We mainly ship with UPS or USPS for reliability and speed. If you want additional information regarding the content of this item please refer to the manufacturer for more details. If you have any questions please feel free to Contact Us. TechNextDoor Store Policies: Note: International Bidders requesting a shipping quote must leave a address to calculate shipping. All Items are shipped with Insurance. Items over $250 will require a signature upon delivery. I do not offer warranty or technical support on products listed. Local Pickup is welcomed. Please contact me for appointment. International bidders are responsible for any international fees or dues. In many cases these items are consigned or purchased second hand. I do not always know the full history or how to operate and repair these items. I try to the best of my knowledge to list the item accurately. Please note: We process and ship orders Mon– Fri and are unable to offer w
Plasma Therm Model PK 1241 PE/RIE Dual Plasma & Reactive Ion Etch System
This auction is for a Plasma Therm Model PK 1241 PE/RIE dual plasma and reactive ion etch system. As you can see from the pictures. This unit is in clean condition with minimal wear. The main condition issues with this machine are: 1) Missing wheel caster Chipped formica top Missing gauge/dummy plate Missing side panels I lack the electrical power requirements to test this unit so full functionality is unknown. Feel free to contact me with any questions. Thanks for looking and happy bidding! Powered by The free listing tool. List your items fast and easy and manage your active items. 2p5ddv.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B26rk%3E2p5ddv-1432ef8826c-0xe2-
Drytek Triode 384T 384 T Plasma Metal Etch Etcher System
This auction is for a Drytek Triode 384T Plasma Etch System. As you can see from the pictures. This unit is in extremely clean condition with minimal wear and mostly complete. I have not powered system up(lack the power) and it does appear to be missing at least a couple internal parts, sold as-is. System also comes with an assortment of original manuals(see last picture) Repair or use for its immense amount of rare spare parts! Feel free to contact me with any questions. Thanks for looking and happy bidding! Powered by The free listing tool. List your items fast and easy and manage your active items.
Matrix Model 303 Plasma Etch, Strip & DeScum System
This system is in Excellent condition and is being sold"As Is" as we are unable to test it.
Tel Shin 12 inch Etch system
Tel Shin 12 inch Etch system Vintage 2004 Process Oxide Etch. Wafer 300mm V-notch. Type of Carrier: foup 25 wafer. RF type: Daihen/WGA-50E.AGA-50B2. Sale condition ASIS WHERE IS. Tool Locate in Korea. Inspection: Anytime.
Tegal Plasma 901e Etcher With Manual Plasma Etch System Wafer Semiconductor
Plasma 901e Tegal Etcher With Manual. SOLD AS SEEN IN PICTURES! Not tested. Cassette to Cassette Poly/Nitride Plasma Etcher*Easy to use menu driven control*Input gases controlled by MFC. Up to 4 MFC with system*Non-friction spatula wafer transport- Power requirement: 208 VAC 25A 1 PH- S/N: CU50134- Item# CU9000-50134-Volt: 200/208 VAC- Curr. 30 Amps- Freq. 50/60 Hz- Wires: 2+ ground- Wfg: 11/1999- m eter reads: 5081-RCTN Chamber item No. CC1106-01302 NOTE: We do not know the wafer size of the unit. If you know a way to tell. Please let us know. Specifics Below are off the internet: This is only to help. We do not know if this is exactly what we have. Please ask questions. Wafer Size Capacity: 3.4,5,6 inch Cassette To Cassette In-line Single Wafer Plasma Etcher.Capability to etch vias and contacts with anisotropic or sloped profiles.For etching ... moresilicon dioxide. Silicon nitrides, and polyimides.Can handle wafers from up to 6 in. Microprocessor control.208 V, 50/60 Hz. Tegal 901e series Plasma/RIE etch System are used by the Semiconductor Industry for integrated circuit fabrication. The system are used in one part of the sequence of manufacturing steps that transfer a pattern formed from a layer of photosensitive material, the photoresist, to a layer that makes up a permanent part of the final device. The process of defining a pattern with photoresist known as photolithography, while the etch process transfers the photoresist pattern to the permanent layer.Tegal 900 Series systems deliver highly reliable, repeatable results in etching a variety of films used to manufacture semiconductor, telecommunications and optoelectronics devices, flat panel displays and thin film magnetic heads.Tegal 901e,are designed around a production-proven wafer transport design that can accommodate 75 mm to 150 mm round silicon, GaAs, InP, and dielectric material substrates. The transport can also be configured to accommodate rectangular substrates up to 125 mm on a side. Typical Applications for Tegal 901e:1. Nitr
AMAT INC Precision 5000 MK II 200mm STI DEP Etch (2 CVD / 2 Etch Config) System
APPLIED MATERIALS INC Precision 5000 Mk II 200 mm STI DEP Etch(2 CVD/ 2 Etch Config) System us as spaerpart. 200 mm STI DEP Etch(2 CVD/ 2 Etch Config) System, Wafer Size: 200mm, Precision 5000 Mark II Mainframe, Precision 5000 Software Version 5.03(Year 2000 Compliant) SECS Communication Port, 2 CVD deposition chambers, 2 sputter etch chambers, Laminar flow mini-environment behind input/output window, Mark II ZA(zero adjust) actuators and gates for process chambers, Process chamber configured for ceramic chuck operation, TEOS ampule hotbox, Remote hotbox minicontroller, MFM feed-forward loop enabled for deposition control, not stored in cleanroom, use as spaerpart, Chambers in good conditions but As is. Tool is not tested Sale Details: Condition: AS-IS. No warranty. Regarding freight: Smaller items will be sent by Post service or parcel service. ... moreFor big and heavy items please contact us and your shipping agency in a timely manner. Thank you. Please note: This article is mainly appointed for commercial bidders/buyers. The price for these article is therefore the net price without value added tax(VAT) For non-commercial bidders/buyers in the EU(European Union) and commercial buyers in the EU that not own a UID-Number has to be added the legal value added tax(VAT) The prices in the listing therefore increase about the legal Austrian value added tax rate(20% Cu stomers should contact us to agree shipping charges. Only items that are pictured or mentioned in the description are included. For multiple listed items: If there is a pictured serial number it is not necessarily the one that will be sent. But the item condition is the same. Hours of Operation: Office Hours: Monday– Thursday: 8:00 am– 4:30 pm Central European Time(CET) Friday: 8:00 am– 2:30 pm Central European Time(CET) Our office is closed Saturday. Sunday and all Austrian holidays. Sale Terms and Conditions: Only the pictured and mentioned items are included in the listing. If anything is not pictured or mentioned in the d
Gasonic 2000LL Plasma Etch Asher System
Description: Gasonic 2000LL Plasma Etch Asher System. It is missing some parts when I bought. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | ... moreLam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
PlasmaTherm Reactive Ion Etch system
PlasmaTherm 700 REACTIVE ION ETCHER RIE SYSTEM RF Generator Type HFS 500E PVSP PVC-3 Throttle Valve Control MFC-3 Mass Flow Control APCS-3 PC-1 The Unit has not been tested. might work being offered AS IS with not warranty
Parker Technology PS2000 Thin Film Gap Etch Metrology System (GEMS) Chemical Ca
The PS 2000 Titration Analyzer is a microprocessor-based system designed to perform a variety of wet chemical analysis procedures. Such as direct pH measurement or pH, ORP or colorimetric titrations. Requires DI Water 1/4" NPT. Oil Free Air 1/2" NPT-F, Nitrogen 1/4"NPT-F, Waste Line. Power 120/230 VAC. RJ-11 Phone Line. Includes Users Manual and Engineering Documents. SKU 36583
FSI Excalibur Dual Stage Vapor Etch System, Model: 901498-301, 200mm, 8"
FSI Excalibur system, MODEL: 901498-301 SN: 0904-0095-0895 MFG DATE: AUGUST 95. Does 200mm. This unit is in like new condition but is absent a hard drive. More photos are available. Please contact me if you would like to schedule an inspection. All items sold as is where is. Once purchase is complete. The location for pickup will be provided. I can recommend a local crate and ship company if that better suits your needs.
Technics Planar Etch II System
Technics Planar Etch II System. Looks complete. Sold as is.
Alcatel AMS-200 DRIE (Deep Reactive Ion Etch) system
Alcatel AMS-200 Deep Silicon ICP Etcher Description: Alcatel AMS-200 DRIE(Deep Reactive Ion Etch) system Year of manufacture: 2003 Serial Number: M93734 Inductively Coupled Plasma configuration Ceramic Clamp type Wafer size: 6 inch MKS Spectrum 3000W 13.56MHz RF Generator ENI 500W 380 KHz RF Generator Gases: SF6. C4F8, O2, O2 Process: Deep Silicon Etch Removed from operation in Jan 2015 at a MEMs fab. Refurbished system is available for purchase from GCE Market. See the following link: Pricing: $145K This unit is sold: As-Is-Where-Is. No Warranties expressed or Implied. This is a COMPLETE system. Was removed from full operation on 6 through 9 January 2015. Can provide complete Decommissioning Audit Report with photos upon request. Please note: this is a most complex system and contains many system and sub-systems. I am not an expert of this ... moretool. For those who are most serious about this tool a visit from persons familiar with the tool would be in order. Further Information Call: 856 520 0314 Eastern Time g.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bjw%60hquvg%3Eg-14b0dd3affb-0x113-
Applied Materials 8310 Etch System / Tool
Applied Materials 8310 Etch System Comes w/ 1)Laser beam controller box. 1)Ecther Chamber bell jar. 2) 8300E control panels Subject to prior sale! Photos show everything that is included. For more information. Or to contact us, please feel free to visit our ME page. 14 day Right of Return if not satisfied. Thanks for looking! Please visit our store for more great items! 9804- BLDG 3 Floor
P5000 Mxp Metal Etch System, Applied Materials
AMAT P5000 Mxp Metal Etch Tool. Complete running system. Currently still running in a production Fab until 3/31/15. Includes two R2 Mxp Metal Etch Chambers. One orienter chamber, one ASP Strip chamber. Mainframe includes 28 line capable gas panel, 29 slot storage elevator, robot with water cooling. Remote equipment includes two RF generators, ASP Hi-voltage supply, two AMAT 1 heat exchangers, one Neslab. Complete refurbishment available at extra costs. Constant me for more details.