Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
249.57
Buy It Now
Free Shipping
Condition: New
Location: Sacramento, United States
We have added an upper and lower cushion that is specifically engineered for thin wafer support. We take joy in helping you get the gear you want at the ... moreprice you need. We work hard to include a lot of pictures of each item.
$
299.99
Buy It Now
$27.50 Shipping
Condition: New
Location: Albuquerque, United States
Holder has minor surface scratches from storage. See photos. What is shown in the photos is what you will receive.
$
199.99
Buy It Now
Free Shipping
Condition: New
Location: Albuquerque, United States
Both cases are sealed, the open case in the pictures was from another batch. 50 wafers total in 2 cases - both cases have 25 pieces each.
$
180.00
Buy It Now
$14.75 Shipping
Condition: New
Location: Cupertino, United States
EPI Thickness: 12.00 - 14.00 microns. 25 Wafers total.
$
27.99
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
1 Wafer as pictured. Only 1 side is polished.
$
30.00
Buy It Now
$3.50 Shipping
Condition: New – Open box
Location: Glenns Ferry, United States
Up for auction is a 100mm silicon wafer 1micron of gold evaporated on the polished side. A 100 angstrom Ti layer is used as an adhesion layer. Silicon ... morewafers are p-type. 1-20 ohms/cm2, thickness is 475-525um thick, orientation. Auction is for one wafer shipped in wafer carrier.
$
910.07
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Part No: A112654. This MRC Materials Research A112654 is new surplus. The physical condition is great. Serial numbers or country of manufacture may vary.
$
35.19
Buy It Now
Free Shipping
Condition: Used
Location: Clinton, United States
I see is a double backwards E on bottom of box and H74 along one top edge of box. There is H74 on cover. The small plastic insert has Fluoroware and PH7405. ... moreThis is used. A few minor scratches and blemishes from previous use.
$
460.07
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Part No: D127847. The physical condition is great. Serial numbers or country of manufacture may vary.
$
349.99
Buy It Now
$10.00 Shipping
Condition: New
Location: Litchfield Park, United States
University Wafers S6P01SP Wafer 100mm, 25-Piece type P new old stockType PResistivity 0-100 Ohm-cmsee pictures for more details thank you for bidding
$
65.91
Buy It Now
Free Shipping
Condition: New
Location: San Ramon, United States
$
910.07
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Part No: A121893. This MRC Materials Research A121893 is new surplus. The physical condition is great. Serial numbers or country of manufacture may vary.
$
501.12
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is new and cleanroom. This Semitool Solenoid Valve Manifold is used, working surplus. Semitool Solenoid Bank 3-Way 5 Pop 15 Blnk ... more61593-12 Working. These products are subject to export restrictions under U.S. law.
$
159.97
Buy It Now
Free Shipping
Condition: Used
Location: Sacramento, United States
2 pcs International Wafer Service 100 mm DSP 485-495 Mic. Silicon-Wafer w/ Case. It is also subject to change during peak periods. We work hard to include ... morea lot of pictures of each item.
$
572.95
Buy It Now
$23.62 Shipping
Condition: New
Location: Tucson, United States
MSE PRO 1 Cassette (qty. Type/ Dopant: P/ Boron. © MSE Supplies LLC. 1 Cassette (qty. Material: Single Crystal Silicon Wafer. Oxygen Content: 1.6 x 10^18 ... moreatoms/cm3. Carbon Content: 0.5 ppm. Primary Flat Length: 32.5 +/- 2.5 mm. ).
$
499.97
Buy It Now
Free Shipping
Condition: Used
Location: Sacramento, United States
It is also subject to change during peak periods. We work hard to include a lot of pictures of each item.
$
50.00
Buy It Now
$18.78 Shipping
Condition: New – Open box
Location: Schenectady, United States
It is not tested. We have multiple available for sale so you may not receive the one photoed but they are all in similar condition. Everything included ... moreis shown in the photos. Green Impact. Condition:New - Other.
$
50.00
Buy It Now
$7.00 Shipping
Condition: New
Location: Billings, United States
Vacuum Tip for Vacuum Wand. 75mm-100mm Wafers.
$
378.91
Buy It Now
Free Shipping
Condition: New
Location: San Ramon, United States
I have for sale Qty 25 Virginia Semiconductor 100mm CzSi Boron Doped Wafer + ePak 100mm Carrier. Wafers are new & sealed from manufacture. From a lab ... morethat closed down.
$
799.97
Buy It Now
Free Shipping
Condition: Used
Location: Sacramento, United States
SOI wafers are unique products which are custom built for specific end-user applications. It is also subject to change during peak periods. We work hard ... moreto include a lot of pictures of each item.
$
879.99
Buy It Now
Free Shipping
Condition: Used
Location: Palmer, United States
Unit is Untested! Sold as-is pictured. No Warranty!
$
291900.00
Buy It Now
Condition: Seller refurbished
Location: North Billerica, United States
Well suited for University Nanotechnology lab or R&D facilities All machines listed below will be refurbished and shipped in good condition. Fully ... moreoperational. Allow 8-12 weeks for delivery 4" 100mm) wafer fab for 1 micron geometries Diffusion furnace. 3 tube(custom gas cabinet quoted separately) PVD Electron beam evaporator with 4 pocket gun Table top RIE(Reactive Ion Etcher) Programmable photo resist spinner 4" mask aligner. 1 micron resolution(shown in photo) 400x microscope with dark field(1) 4 foot polypropylene wet station with hotplate and(1) 6 foot polypropylene wet station with hotplate Profilometer Plasma asher High resolution probe station with 2 probes Spin rinser dryer Wire bonder Die bonder Die shear/pull tester High temperature vacuum oven(400c for wafers) Vapor prime oven Hepafilter oven We accept Purchase Orders from Universities and Colleges Call us for details and photos at 978-771-0481 FREE SHIPPING IN THE US MAINLAND.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf54%3E-146c01a6aab-0x117-
$
225.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Hollister, United States
The physical condition of the wafers is good and clean. Wafer Size: 100mm. These products are subject to export restrictions under U.S. law.
$
450.00
Buy It Now
$15.40 Shipping
Condition: New
Location: East Lansing, United States
25 pcs sealed in box. Condition is New.
$
375.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Hollister, United States
The physical condition of the wafers is good and clean. Wafer Size: 100mm. These products are subject to export restrictions under U.S. law.
$
375.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Hollister, United States
The physical condition of the wafers is good and clean. Wafer Size: 100mm. These products are subject to export restrictions under U.S. law.
$
501.12
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is new and cleanroom.
$
375.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Hollister, United States
This 25-piece Addison 925-001513-402 Wafer (100mm), is new surplus and in vacuum sealed bagging. The physical condition of the wafers is good and clean. ... moreWafer Size: 100mm. These products are subject to export restrictions under U.S. law.
$
39.97
Buy It Now
Free Shipping
Condition: Used
Location: Sacramento, United States
It is also subject to change during peak periods. We work hard to include a lot of pictures of each item.
$
300.00
Buy It Now
$12.45 Shipping
Condition: New
Location: Glenns Ferry, United States
Wafer carriers with 25-wafer capacity.
$
1500.00
Buy It Now
$14.95 Shipping
Condition: Used
Location: Boerne, United States
Svg spts DT31254102 plate used. Clean used tel tokyo plate as shown, part number DT31254102 clean used removed from tool. Will pack well and ship to winning ... morebuyer via USPS or FedEx. Thanks for looking and happy bidding!
$
8500.00
Buy It Now
$91.31 Shipping
Condition: Used
Location: Boise, United States
Nicole Jackson. (See Hours of Operation, above).
$
375.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Hollister, United States
This 25-piece Addison 925-001513-403 Wafer 100mm (100mm), is new surplus and in vacuum sealed bagging. The physical condition of the wafers is good and ... moreclean. Wafer Size: 100mm. These products are subject to export restrictions under U.S. law.
$
300.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Hollister, United States
The physical condition of the wafers is good and clean. Wafer Size: 100mm. These products are subject to export restrictions under U.S. law.
$
85.00
Buy It Now
$8.85 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
Teflon / PFA material for use in chemical baths.
$
165.00
Buy It Now
$30.00 Shipping
Condition: Used
Location: Boca Raton, United States
VERTEQ ROTOR PARTS NUMBER 1079827-1, H. BAR IN . Condition is "Used".
$
625.00
Buy It Now
$35.00 Shipping
Condition: New
Location: Tempe, United States
Quantity 25 pieces total. Silicon wafers polished on the front side with 1000A (100nm) oxide on both sides. Any type; any orientation; 0-100 ohm.cm resistivity; ... moreany thickness; any back surface; any flats; Can be utilized for machine cycle testing or further processed to make devices.
$
90.00
Buy It Now
$15.00 Shipping
Condition: New
Location: Arden, United States
Up for sale i have a : ^ Empak X3100-0200 100 mm Wafer Carrier 25 Slot #X24.
$
1249.99
Buy It Now
$220.74 Shipping
Condition: Used
Location: Phoenix, United States
Nikon NWL-640 Wafer Loader for 100 mm, 125 mm, and 150 mm Wafer Sizes. The units power up but we do not have expertise to test them in full. None of them ... morehave the wafer holder. Wafer Extraction Procedure: Designated pocket.
$
750.00
Buy It Now
$13.53 Shipping
Condition: New – Open box
Location: Milpitas, United States
Farmon I.D. 419148, 419149. AMAT 0020-02087 Rev.E, L & P Machine, Wafer Aligner, 100mm. IBM IC Electronics ICP Electronics Inc. ICS IDEC IDL Semiconductor ... moreIDX IEE IGC Polycold System Inc. Imtec Acculine IN USA INA InBus Engineering Industrial Computers Inficon Infranor Innova Electronics Integrated Circuit Dev.
$
425.00
Buy It Now
$35.00 Shipping
Condition: New
Location: Tempe, United States
Quantity 25 pieces total. Epi test silicon wafers polished on the front side. Any type; any orientation; 0-1000 ohm.cm resistivity; any thickness; any ... moreback surface; any flats; Passed bright light inspection. Can be utilized for machine cycle testing or further processed to make devices. They were obtained from the microchip industry. There is no other information or specifications available. These are new-excess inventory. This item was purchased for resale and was NOT used by seller. This item can be previewed and inspected by appointment only at our location in Glendale. AZ. If you have any questions, please call us at(602) 618-8452. Please ask ALL questions prior to bidding! This units is sold" As-Is" with"N o Warranties" and"A ll Sales are Final" Payment by Pay Pal or Credit Card. NOTE: Arizona Residents are responsible for sales tax. Unless, you send proof of resale certificate. Please email us for shipping quote if outside the continental US. Shipping Information: Pick-up location: Glendale. AZ(Located just West of Phoenix, AZ. Buyer releases Desert Silicon LLC from any liabilities from this unit. All liabilities will be the responsibility of the buyer. Merchandise must be paid for within 3 days. Good Luck and Happy Bidding! EMAIL: Surplus Equipment is sold as is and Desert Silicon shall not be liable for any use of misuse of this product.
$
36.00
Buy It Now
$18.59 Shipping
Condition: Seller refurbished
Location: Hauppauge, United States
Manufacturer: Veeco. About: We are NES Sales, Northeast's industrial source. Notes: Does not include stand. Sold as-is, as pictured.
$
499.99
Buy It Now
$13.99 Shipping
Condition: Used
Location: Santa Clara, United States
19A,,,,, NF FXD AT 1.460 Del 173.61,,,.
$
85.00
Buy It Now
$8.45 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
Teflon / PFA material for use in chemical baths. We have many parts we have not had a chance to list yet.
$
75.00
Buy It Now
$15.00 Shipping
Condition: Seller refurbished
Location: Newport Beach, United States
Each single wafer carrier case includes a spider ring (pressure retention), lid and the base case. The wafer carriers have a conical base to support the ... morewafers at the edges only. The wafers are held in place and secured by a spider spring and locking lid.
$
406.18
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This AMC PC-AP130-180-100-00 is new other surplus. The physical condition is great. These products are subject to export restrictions under U.S. law. ... morePart No: PC-AP130-180-100-00.
$
13950.00
Buy It Now
Condition: Seller refurbished
Location: Palisades Park, United States
Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Karl Suss- Suss Microtec ... moreMint condition. Model HR-100 Diamond Scriber Also called MS100- Manual Scriber For wafers and substrates up to100mm This scriber is provided with a 6 month. No-nonsense warranty. Quick shipment- International Shipments OK. For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for over 35 years. You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our 50,000 square foot facility is located in the metropolitan NYC area. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount. F unit
$
85.00
Buy It Now
$8.45 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
Teflon / PFA material for use in chemical baths.
$
180.00
Buy It Now
Condition: Used
Location: Bad Bleiberg, Austria
Software Verkäufe sind von der Rückgabe ausgeschlossen. -Für internationale Transporte außerhalb der EU verwenden wir für Paletten und Kisten Hitze behandeltes ... moreHolz laut Pflanzenschutzverordnung. Für einen schnelleren internationalen Ablauf halten Sie ihre entsprechenden Daten bereit.
$
1500.00
Buy It Now
$141.65 Shipping
Condition: For parts or not working
Location: Dallas, United States
BASIC TESTS WE DO, ARE NOT GUARRANTEE TO WORK ON YOUR END. YOU NEED TO BE AWARE, YOU ARE BUYING THE ITEM WITH INTENTION IT WILL NOT WORK. NO EXECPETIONS. ... more· If we receive your order. If your country is not supported by this service, sorry we can’t help it.
$
450.00
Buy It Now
Free Shipping
Condition: Used
Location: Toledo, United States
VLSI Standards FTS4-10100 Film Thickness Standard. 1001.5 +/- 0.9 nm thickness.
$
406.18
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This AMC PC-APB7-180-100-00 is new other surplus. The physical condition is great. These products are subject to export restrictions under U.S. law. Part ... moreNo: PC-APB7-180-100-00.
$
32000.00
Buy It Now
Condition: Used
Location: Switzerland
STS / CPX Multiplex is a high-precision etcher-asher designed for the production of high quality microelectronic systems. CPX Multiplex has a 4-axis robotic ... morearm that can position the substrate at any angle, and the laser beam can be directed with precision.
$
406.18
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This AMC PC-APB7-230-100-00 is new other surplus. The physical condition is great. These products are subject to export restrictions under U.S. law. Part ... moreNo: PC-APB7-230-100-00.
$
1350.00
Buy It Now
$13.53 Shipping
Condition: New – Open box
Location: Milpitas, United States
Farmon I.D.418526. AMAT 0020-02086 Rev.F, 8-2000, Wafer Aligner, 100mm Strech. IBM IC Electronics ICP Electronics Inc. ICS IDEC IDL Semiconductor IDX ... moreIEE IGC Polycold System Inc. Imtec Acculine IN USA INA InBus Engineering Industrial Computers Inficon Infranor Innova Electronics Integrated Circuit Dev.
$
20900.00
Buy It Now
Condition: Seller refurbished
Location: North Billerica, United States
AG Associates 7400-0023-01 Heatpulse 210/410/610 Quartz Oven Isolation Tube Comes with what you see in the pictures. If you don't see it. You probably ... morewont get it. Specifications are from a 3rd party and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. This unit is believed to be brand-new. Old stock from Intel. The Unit's Serial Number Tag Reads: Part Number: 7400-0023-01L Serial Number: 2169605 Believed to be Compatible with: Heatpulse 210 Heatpulse 410 Heatpulse 610, For More Pictures Please Click the Following: For Domestic Customers: Packaging. Handling, and order processing included in shipping in all domestic shipments as quoted by the shipping calculator. For International Customers there is a $50.00 minimum for packaging, handling, and order processing. International shipping to be determined by destination. If you have any questions please call Michael at 1-866-MHZ-ELEC(1-866-649-3532) Toll Free. Also. Please to view or other auctions! 08/24/13 Powered by The free listing tool. List your items fast and easy and manage your active items.
$
48.44
Buy It Now
Free Shipping
Condition: New
Location: United States
These precision tweezers are made of AISI 316L stainless steel. The stainless steel tweezers are anti-magnetic, making the anti magnetic tweezers ideal ... morefor your laboratory uses. The overall length of the wafer tip tweezers is 5".
$
799.00
Buy It Now
$21.90 Shipping
Condition: New – Open box
Location: San Jose, United States
NEW H-Square FWTT Tabletop Battery-Powered Vacuum Wand System with 100mm Wafer Wand. Location: 221117_9828.1.
$
2000.00
Buy It Now
Free Shipping
Condition: New
Location: China
The main function of the spin coater is to drip various kinds of glue on the high-speed rotating substrate, and make these glue evenly coated on the substrate ... moreby centrifugal force. In the semiconductor process, the spin coater is used for the coating of photoresist, which is one of the step in the manufacture of integrated circuits.
$
170.00
Buy It Now
$20.00 Shipping
Condition: Used
Location: Grand Prairie, United States
You Are Buying aLot of 2 Vexta CSK545-NATA, 4” Wafer Boats. Sold As Is. Lot of 2 Vexta Wafer Boats. If you don’t see it you probably won’t get it. 1x ... moreCSK545-NATA, 100 mm 4”, 14 slots.
1 2
 In 

Former Listings  
 
Fluoroware Poly Wafer Boat/Carrier PA182-60MB 100mm 6"
SEARCH OUR PRODUCTS Fluoroware Poly Wafer Boat/Carrier PA182-60MB 100mm 6" Product Description This is a used Fluoroware Poly Wafer Boat/Carrier(PA182-60MB 100mm 6" This item in good physical condition. It is dusty from storage. Cosmetics vary slightly from pictured unit. Overall condition will be very similar. NO manuals or additional accessories are included if not shown. Specs: High rigidity and dimensional stability Four-point contact on the"H" bar and track for improved wafer positioning(unless noted) Open sidewall style High profile design for maximum wafer protection Locating track notches for the center of the thirteenth pocket(unless noted) H" bar equipment interface Pins and holes on the top rail Wafer capacity: 25 D1 dimension: 14.5mm(0.57" Pocket spacing: 4.8mm(0.19" Pocket flat: 1.5mm(0.06" ... moreSKU: K37E009 Warranty Information Warranty covers defects and malfunctions that we have not described. The warranty period starts the day the item is delivered to your shipping address. Warranty does not cover compatibility issues. Consumable parts or software. Contact Info Business Hours- toll free: 877) 328-9236- local: 512) 933-0081- fax: 512)873-0745 Monday to Friday from 8:30 am to 5:30 pm CST Closed on Saturday and Sunday Company Policies PayPal Must ship to a confirmed address, Visa/MasterCard/Discover/Amex US and Canadian issued cards only. Must ship to the billing address of the credit card. Orders over $1.000 require a signed. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. We do not allow the buyer to arrange their own shipping. Shipment must be arranged through us and will be prepaid to us by the buyer. The buyer is responsible for any customs. Duties, taxes, broker fees, etc that are incurred. We do not know what these fees are and have no way to calculate them. Yo
Fluoroware 100mm Robox Silicon Wafer Shipping Cassettes
4" ppe and polypro clean cassetts 25 slot semi standard 25 available
Metal Wafer carrier (100mm, 75 slots)
Used metal wafer carriers(100mm. 75 slots) XuMatic Inc is a high technology company dedicated to developing thin film solar cells technology. Semiconductor equipment and solar materials to produce solar energy. Its mission is to design and implement new solar energy equipment and materials including copper indium gallium selenide(CIGS) thin film deposition process enabling lower solar cell prices and wider adoption of solar power. Surplus Equipment is sold as is and Xumatic Inc shall not be liable for any use of misuse of this product Contact: info@xumatic.com; websit: www.xumatic.com
Teflon Wafer carrier (100mm, 75 slots)
Used Teflon wafer carriers(100mm. 75 slots) XuMatic Inc is a high technology company dedicated to developing thin film solar cells technology. Semiconductor equipment and solar materials to produce solar energy. Its mission is to design and implement new solar energy equipment and materials including copper indium gallium selenide(CIGS) thin film deposition process enabling lower solar cell prices and wider adoption of solar power. Surplus Equipment is sold as is and Xumatic Inc shall not be liable for any use of misuse of this product Contact: info@xumatic.com; websit: www.xumatic.com
MGI Electronics - Phoenix V4 Wafer Transfer System for 100mm Wafers
MGI Electronics- Phoenix V4 Wafer Transfer System for 100mm Wafers DESCRIPTION MGI Electronics. Phoenix V4 Wafer Transfer System/100m Wafers Part#V4 Product Condition: USED Specifications: Phoenix V4 Wafer Transfer system for 100mm Wafers. Local Pickups Local pickup is available for all items Monday thru Friday 8:30am- 4:30pm Domestic Shipments Domestic shipments are sent via UPS or USPS Priority Service. We choose the fastest most economic shipping method based on a items size. Weight, and value ratio. Items sent to Alaska& Hawaii are subject to additional charges. International Shipments We ship to all international countries. International shipments are sent USPS International Priority unless otherwise requested. Contact us for international shipping quotes. Freight Shipments Items requiring freight will be securely strapped to a pallet ... moreand loaded at no additional charge. Carriers assess an extra charge if delivery is made to residential area and/or if the service of a lift gate are required. Rigging charges may apply to items requiring special loading/shipping requirements. Your satisfaction is our highest priority! To the best of our knowledge the item is in perfect working condition. We do our very best to describe items as accurately as possible and in no way would misrepresent or knowingly sell an item that was not working. But since this item is used and at times. We do not know the history of an item, all items are sold"AS-IS" No refunds, returns, or exchanges will be given. Please contact us with any and all questions as your satisfaction is our highest priority.
H-Square Corp - WT4HAS Horizontal Wafer Transfer Cassette 100mm Wafers
H-Square Corp- Model WT4HAS Cassette Fixtures for 100mm Wafers DESCRIPTION H-Square Wafer Cassette Part#WT4HAS Product Condition: USED Specifications: H-Square WT4HAS 100mm Wafer Cassette- Local Pickups Local pickup is available for all items Monday thru Friday 8:30am- 4:30pm Domestic Shipments Domestic shipments are sent via UPS or USPS Priority Service. We choose the fastest most economic shipping method based on a items size. Weight, and value ratio. Items sent to Alaska& Hawaii are subject to additional charges. International Shipments We ship to all international countries. International shipments are sent USPS International Priority unless otherwise requested. Contact us for international shipping quotes. Freight Shipments Items requiring freight will be securely strapped to a pallet and loaded at no additional charge. Carriers ... moreassess an extra charge if delivery is made to residential area and/or if the service of a lift gate are required. Rigging charges may apply to items requiring special loading/shipping requirements. Your satisfaction is our highest priority! To the best of our knowledge the item is in perfect working condition. We do our very best to describe items as accurately as possible and in no way would misrepresent or knowingly sell an item that was not working. But since this item is used and at times. We do not know the history of an item, all items are sold"AS-IS" No refunds, returns, or exchanges will be given. Please contact us with any and all questions as your satisfaction is our highest priority.
Varian 3120 Horizontal Evaporator, 2 Varian S-Guns, 100mm wafer tooling, pump
Normal 0 For Sale: Varian 3120 evaporator with horizontal chamber. I purchased this as it is a couple of years ago. And due to economic changes, ended up not needing it. I never ever hooked it up. It looks like most of the cables are there to hook it up. Here is what I know about it: 3 100mm planets. Fixture heater, and fixture drive 2 Varian S guns. Installed, looks like aluminum was being shot) standard Varian high vacuum diffusion pump. Water cooled Alcatel roughing pump. don't know condition of) Liquid N2 cold trap Inficon single head thickness monitor/controller XMS-1 Varian T/C and 2 Ion gauges 2 Varian S gun controllers and power supply's Varian master programmer and I/O expander flow control. Accessory control, process control, smart gauge leak detector 208-220v 3 phase 70A 29" ID bell jar This unit is being sold. as ... moreis, where is" with no warranty expressed or implied. Any repairs will be the responsibility of the buyer. Shipping: This item will go by freight at the buyers arrangement and expense. There will be a small pallet charge of $25 per pallet and this item will require 2. I will load it on your truck for free. Buyer will receive the actual unit shown in the pictures. Item does include 3 manuals. Volumes 1 through 3. Note: Sorry no international buyers. Continental US buyers only! All items for sale are described to the best of our knowledge and belief. Please do not assume that accessories that are not shown are present or the condition of the item is other than described or pictured. Any questions please feel free to ask. Shipping& Handling: We ship via UPS ground to the lower 48 states. Unless otherwise noted. Items normally ship in 4 days or less once payment is made. But freight items may require additional time. If you are the winning bidder. Please request a total dollar amount before paying. A handling charge may be required to cover boxing or palleting expenses, but is usually a modest amount and will be stated in the listing. Large or heavy items to ship by freight w
H-Square Corp 285-4B 4" 100mm Metal Wafer Cassette Holds 25 Wafers
H-Square Corp 285-4B 4" 100mm Metal Wafer Cassette Holds 25 Wafers Sold as"Stackable Cassettes" for easy storage See Pictures. We had to try it ourselves. Excellent Condition Check Out: Purchase: Making purchases is easy and simple. To place an order for procurement. Please click the“Buy It Now” button. Follow to the next page for payment instructions. Payment: Click the“Pay Now” button at the top of the E-Bay page to proceed with the checkout and payment process. Payment must be made within 5 days of purchase. Accepted Forms: We accept PayPal. Or you may pay on your credit card via PayPal. When paying via PayPal. Payments must include your shipping address and should be submitted via the E-bay payment process. Sales Tax: For California customers. Sales tax is added and depends upon your location. If you are tax exempt. Please ... moreprovide a copy of your Tax Exempt Certificate prior to check out. Please do not send payment before receiving a revised invoice. Shipping: Shipping rates are the responsibility of the customer and are not refundable. Rates include handling. Packaging materials, label scanning and tracking information. Shipping rates are based upon the weight and dimensions of the package and your location. If we have packaging materials for smaller items. We include packaging and handling at no charge. If they are unavailable, we charge our cost. For larger capital equipment, please contact us for crating costs and exact weight prior to shipment as the crate will add weight to the tool set. Insurance: Should you prefer insurance on your item. Please inform us PRIOR to check out so this may be added to the shipping cost. Insurance is not added unless specifically requested by the buyer. Processing Time: Once payment has cleared. We make every effort to ship your item within 1-2 business days. Larger capital equipment which requires crating may require a longer time to build the crate. Freight Shipment: Freight Shipment is the responsibility of the buyer. Although we will do everything possible
Planetary wafer holder (18.5" diameter) for 4" (100mm) diameter wafers.
This is one of three stainless steel planetaries that were used for silicon wafer processing. They will require a cleaning if your process is allergic to Silicon. They are in good shape. Some on the spring holders may need rebending. The diameter is 18.5" and they mount with a screw on the top of the unit. If you are a foreign buyer. Contact us directly regarding shipping details.
LOT OF 4 USED 4" EMPAK WAFER CARRIES/STORAGE-PK9100 100mm
aLL cARRIERS ARE USED- tHEY HAVE BEEN SCRAPPED FREE OF ANY LABELS AND/OR STICKERS FROM PREVIOUS USE- tHEY HAVE ALSO BEEN CLEANED. RINSED& HEAT DRIED VIA THE DISHWASHER- THERE IS WEAR FROM USE BUT NOTHING SO MUCH AS TO HAVE TO LIST IT SPECIFICALLY- LOT OF 4 USED EMPAK 4" WAFERS CARRIERS- PH9100 100MM CLEAR DOUBLE SNAP MEASUREMENTS ARE AS FOLLOWS: 6 1/2 IN LENGTH 6 IN WIDE 5 IN DEEP
USED FLUOROWARE H93 40 SINGLE WAFER SHIPPER*100mm (4")* H93 SERIES
Holds one wafer facedown contacting only the wafer’s edges Contains four inserted cushions to retain wafer and minimize particle generation Tweezer slots on shipper bottom ease wafer removal Stackable for efficient use of storage space Large area on lid provides space for labeling Pin-in-socket hinge allows shipper to be opened completely without tipping backwards Polypropylene replacement cushions available to retrofit existing packages Clear polycarbonate material protects wafer and allows visual inspection without opening PART# H93-40-101-1116. DESCRIPTION- Shipper. OVERALL SIZE- 127mm x 112mm x 20.3mm. 5.0" x 4.4" x 0.80" MATERIAL- Clear polycarbonate.
H Square Safe Wafer Flat Aligner, FFTBAS456, 100mm,125mm,150mm.
H Square Safe Wafer Flat Aligner. FFTBAS456, 100mm,125mm,150mm Works with Entegris A182-50MB and A182-39M cassettes. Thanks
4" (100mm) wafer fab for 1 micron geometries
Well suited for University Nanotechnology lab or R&D facilities All machines listed below will be refurbished and shipped in good condition. Fully operational. Allow 8-12 weeks for delivery 4" 100mm) wafer fab for 1 micron geometries Diffusion furnace. 3 tube(custom gas cabinet quoted separately) PVD Electron beam evaporator with 4 pocket gun Table top RIE(Reactive Ion Etcher) Programmable photo resist spinner 4" mask aligner. 1 micron resolution(shown in photo) 400x microscope with dark field(1) 4 foot polypropylene wet station with hotplate and(1) 6 foot polypropylene wet station with hotplate Profilometer Plasma asher High resolution probe station with 2 probes Spin rinser dryer Wire bonder Die bonder Die shear/pull tester High temperature vacuum oven(400c for wafers) Vapor prime oven Hepafilter oven We accept Purchase Orders ... morefrom Universities and Colleges Call us for details and photos at 978-771-0481 FREE SHIPPING IN THE US MAINLAND.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf54%3E-146c01a6aab-0x117-
4" - 100MM - POLY - WAFER HANDLING CASSETTE CARRIER - 25 SLOT
4" 100MM- POLY- WAFER HANDLING CASSETTE CARRIER- 25 SLOT
4" (100mm) A72-40MB Teflon Boat Carrier PFA Entegris Fluoroware Wafer Cassette
Description Inventory ID: D-776. Description: 4" 100mm) Entegris/ Fluoroware A72-40MB. Teflon/ PFA Wafer Substrate Carrier/ Boat/ Cassette. Used. Very Good Condition, Nice and Clean. Well over 50+ available. Payment Please make sure you have the ability to pay for your item within 5 days of purchasing the item. If you require additional time please contact us to make special arrangements. Shipping We do our best to combine shipping and offer different ways to ship an item to save you money! When we receive your payment and begin the process of making arrangements for shipping we will contact you if you have paid too much in shipping. We will then issue you the refund for the overage. Generally we can ship items same day depending on when payment is made, but please figure at least a 1 day handling time to get the item out the door. Terms ... moreof Sale All items are sold as-is where-is with no warranties expressed or implied unless otherwise noted. If in the event you feel that there was a mistake in the listing or that you are not satisfied after purchasing your item. Please feel free to call us and we will make it right! We stand by all items we sell! We pride ourselves in listing quality items that are accurately described. In the event that you feel your item was not accurately described please contact us immediately. We will either give you a refund of your money or exchange the item with another one. If available. Buyer will be responsible for returning the item in the same condition that it was received in and packed for shipment just as well within the time frame given. Freight cost will be the responsibility of the buyer. However, if the listing above clearly states that there will be no refund or return then the item is as-is. Any items returned for refund/exchange must be properly packaged. Improperly packaged returns will not be refunded/exchanged. About Us CGHITEK is a buyer and reseller or quality surplus industrial equipment. From semiconductor equipment to test equipment to general industrial mac
4" - 100MM WAFER CASSETTE - 25 SLOT - EMPAK PX9100-05
4" 100MM WAFER CASSETTE- 25 SLOT EMPAK PX9100-05 dhep.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bishm%3Ed%7Fhep-147cb11cd59-0x113-
4" - 100MM - POLY - WAFER CASSETTE CARRIER - 25 SLOT - FLUOROWARE A72-39M-06
4" 100MM- POLY- WAFER CASSETTE CARRIER- 25 SLOT FLUOROWARE MODEL A72-39M-06 ec2.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3Eec2-147cb11cede-0x106-
4" - 100MM WAFER CASSETTE - 25 SLOT - FLUOROWARE A72-40MB
4" 100MM WAFER CASSETTE- 25 SLOT FLUOROWARE MODEL A72-40MB ONE IS BLACK. ONE IS WHITE
4" - EMPAK - PX9100-05 - 100MM WAFER CASSETTE - 25 SLOT & EMPAK BOX PH9100
4" EMPAK- PX9100-05- 100MM WAFER CASSETTE- 25 SLOT& EMPAK BOX PH9100
ETEC MEBES 4500 Factory Precision 100mm Wafer Cassette, 712-4090-02
ETEC MEBES 4500 Factory Precision 100mm Wafer Cassette. 712-4090-02 Please read the following terms of sale carefully. These terms constitute a legally binding agreement(contract) between the seller and buyer. By bidding on. Or purchasing, the product posted in this eBay listing, the winning bidder agrees to and accepts all of the terms of sale as stated below. TERMS OF SALE: Only what is shown in the eBay photo and mentioned in the listing is included. Nothing else is included. All sales are final. Please understand that this is an auction/liquidation environment, and as such, all sales are as-is, with no warranty unless indicated in the individual listing. Photographs in the listing are usually the exact item being sold. Occasionally. When we have more than one of the same item, the photograph is representational of the lot, and not an ... moreimage of the actual item being sold. WARRANTY: These are liquidation items and as such. Are not guaranteed. All of our items are coming out of our lab and are therefore used. Unless otherwise noted. All items are sold as-is. Where-is without warranty or guarantee, unless specified in the auction details. Likewise. ALL SALES ARE FINAL. Please ask all pertinent questions regarding the condition of the item before making your bid. We do not accept returns and will not refund payment based on the bidder’s incomplete understanding of the item description or not wanting the item after making a bid. PAYMENT: We accept payment by Paypal. And we only ship to the address which the buyer provides within the Paypal payment, no exceptions. If you are local and would like to pay by cash or cashier’s check. Please contact us BEFORE bidding to arrange. Buyers are given 7 days from winning bid to pay. And payment is expected of all winning bidders. If no payment is made within 7 days. An unpaid item reminder will be filed with eBay, and the buyer forfeits the auction win. We are required by state law to collect sales tax on all items shipping to a CA address. We will use the sales tax appropri
100mm Flouroware Wafer Cassettes CASE OF 10
4"100mm Flouroware Wafer Cassettes. Unsealed. Price is for 10 cassettes.
Neutronix-Quintel Q2001C Q-2001C 4" Wafer Mask Aligner 100mm
Click Here. Double your traffic. Get Vendio Gallery- Now FREE! Neutronix-Quintel Q-2001C 4" 100 mm) Mask Aligner Comes with what you see in the pictures. If you don't see it. You probably wont get it. Specifications are from a 3rd party and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. This unit does not have the lamp power supply with it or a power cord so we were unable to test it. The unit does have a used lamp installed and a used lamp spare with it. It is sold as-is. The 1st Serial Number Tag Reads: Model Number: Q2001C Serial Number: 0437 Code: 94L15 Customer: Berkley Optics The 1st Serial Number Tag Reads: Model Number: Q-2001C Code: 90E11 Serial Number: 0437 = Customer: Xerox Corporation For More Pictures Please Click the Following: $75.00 minimum for packaging. Handling, and order ... moreprocessing. Shipping to be determined by destination. Prior to shipment I need to know the following information: 1) Are you the end-user of this item? 2) If you are not the ultimate end-user of the item. Please state the ultimate end user's name. 3) What is the ultimate country destination? If you have any questions please call Michael at 1-866-MHZ-ELEC(1-866-649-3532) Toll Free. Also. Please to view or other auctions! 02/21/11 Powered by The free listing tool. List your items fast and easy and manage your active items.
Entegris Slingshot 100mm 4" A72-40-04B-0215 Wafer Handle Lot of 2
Entegris Slingshot 100mm 4" C50 A72-40-04B-0215 Wafer Handle 2 Each Plus One C52 Lot of 3 Used Store Location SG 2B2D Normal 0 false false false MicrosoftInternetExplorer4 Absolute ABSOLUTE has used its best efforts to inspect the item(s) for sale. Create descriptions and furnish photographs that fairly and adequately depict the visual condition of the item at the time of sale. We will performance test items within in the realm of our technical expertise. Some items may fall outside of that realm. Absolute makes no expressed or implied warranties or representations regarding the condition or suitability of the goods and to the extent allowed by law, specifically disclaims any expressed or implied warranties, including but not limited to any warranty of merchantability or fitness for purpose. Therefore Absolute offers its customer’s a ... more14-day DOA warranty on all its listings with the exception of items listed for parts or repair. They are non-returnable. All items are to be paid for in U.S. dollars(NO Exceptions) at the end of the auction or time of order unless a separate agreement has been made before hand. Within one business day of the end of auction or your order placement you will be contacted via email about the items you purchased and the total amount due. Packages are usually shipped within 48 hours of payment receipt(excluding Saturday/ Sunday and Holidays)
Empak Inc PX9100-05 Ultrapak 100MM Storage Wafer Carrier 25 Slot Lot of 3
Empak Inc PX9100-05 Ultrapak 100MM Storage Wafer Carrier 25 Slot Lot of 3 Used Store Location BP 3A8A Absolute A BSOLUTE has used its best efforts to inspect the item(s) for sale. Create descriptions and furnish photographs that fairly and adequately depict the visual condition of the item at the time of sale. We will performance test items within in the realm of our technical expertise. Some items may fall outside of that realm. Absolute makes no expressed or implied warranties or representations regarding the condition or suitability of the goods and to the extent allowed by law, specifically disclaims any expressed or implied warranties, including but not limited to any warranty of merchantability or fitness for purpose. Therefore Absolute offers its customer’s a 14-day DOA warranty on all its listings with the exception of items listed ... morefor parts or repair. They are non-returnable. All items are to be paid for in U.S. dollars(NO Exceptions) at the end of the auction or time of order unless a separate agreement has been made before hand. Within one business day of the end of auction or your order placement you will be contacted via email about the items you purchased and the total amount due. Packages are usually shipped within 48 hours of payment receipt(excluding Saturday/ Sunday and Holidays) International Buyers– Please Note: Import duties. Taxes, and charges are not included in the item price or shipping cost. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying.
100mm 4" Teflon Wafer Cassettes A182-39M And A182-39MLB
Free shipping to 48 states. All others inquire! International shipping available. Thank you!