Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
4000.00
Buy It Now
$100.00 Shipping
Condition: Used
Location: Temple, United States
Serial numbers or country of manufacture may vary.
$
414.99
Buy It Now
Free Shipping
Condition: New – Open box
Location: Ventura, United States
Fast Dispatch Service. We're down to earth, easy to work with people.
$
414.99
Buy It Now
Free Shipping
Condition: New – Open box
Location: Ventura, United States
Fast Dispatch Service. We're down to earth, easy to work with people.
$
176.99
Buy It Now
Free Shipping
Condition: New – Open box
Location: Ventura, United States
Fast Dispatch Service. We're down to earth, easy to work with people.
$
875.00
Buy It Now
Free Shipping
Condition: Used
Location: Hollister, United States
It shows signs of use and handling, such as, minor scuffing and scratching. Also, there are few minor rust spots on the paneling. Otherwise, the physical ... morecondition of the unit is good and clean. Condition: Untested, being sold as-is.
$
1503.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi I-900SRT 200mm Wafer Transfer is used working surplus. Removed from a Hitachi I-900SRT Wafer Defect Inspection Tool. One of the plastic wafer ... morepins is broken (see photos). The physical condition is good, but there are signs of previous use and handling.
$
57.00
Buy It Now
$43.01 Shipping
Condition: Used
Location: Tucson, United States
See photos. Box can still bel open normally by sliding and unlocking the broken tab.
$
362.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection ... moreSystem. Part No: Linear Rail Wafer Transport. Serial numbers or country of manufacture may vary.
$
44.95
Buy It Now
Free Shipping
Condition: New
Location: Phoenix, United States
Semiconductor devices are manufactured using the most automated and technologically advanced processes in the world. Predictable location for precise ... morewafer access. Details (from the manufacturer). If you're not happy with our product or service, neither are we!
$
6200.82
Buy It Now
$22.36 Shipping
Condition: Used
Location: Buda, United States
Two-zero-eight-nine-eight-two- one-eight-six-two Direct Line. KLA-TENCOR 8100, 8200, 8300 CD SEM. SN: 9507V0652 ,0004-101098. Gasonics L3510 Gasonics ... morePEP-3510. Brooks/Equipe/PRI (For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. ).
$
1470.00
Buy It Now
$80.26 Shipping
Condition: Used
Location: Schenectady, United States
Model:Semistar-M, ERCR-ND10-C000. It is not tested. Everything included is shown in the photos. Item Representation.
$
57.99
Buy It Now
Free Shipping
Condition: Used
Location: Ventura, United States
Fast Dispatch Service. We're down to earth, easy to work with people.
$
5255.76
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Daifuku CLW-07F 300mm OHV Wafer Transport is is new surplus. The physical condition is great. Serial numbers or country of manufacture may vary.
$
732.99
Buy It Now
Free Shipping
Condition: Used
Location: Ventura, United States
Fast Dispatch Service. We're down to earth, easy to work with people.
$
414.99
Buy It Now
Free Shipping
Condition: Used
Location: Ventura, United States
Fast Dispatch Service. We're down to earth, easy to work with people.
$
183.95
Buy It Now
$19.02 Shipping
Condition: New
Location: Tucson, United States
SKU# CA0104 Each Single Wafer Carrier Case includes a spider ring (pressure retention), lid and the base case. The wafer carriers have a conical base ... moreto support the wafers at the edges only. The wafers are held in place and secured by a spider spring and locking lid.
$
2501.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Daifuku 300mm Wafer Transport is used working surplus. The physical condition is good, but there are signs of previous use and handling. Model No: ... more300mm Wafer Transport. Panasonic AC Servo Drive Part No: MADDT1207N52 (Qty. ).
$
1012.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Nikon 200mm Wafer Inspection Transport OPTISTATION 3 SST55D3C020 is used working surplus. The physical condition is good, but there are signs of ... moreprevious use and handling. Removed from a Nikon OPTISTATION 3A 200mm Automatic Wafer Inspection System.
$
3501.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Asyst Shinko VHT7-1 OHV Overhead Vehicle is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... moreSerial numbers or country of manufacture may vary.
$
362.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection ... moreSystem. Part No: Linear Rail Wafer Transport. Serial numbers or country of manufacture may vary.
$
602.24
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Serial numbers or country of manufacture may vary.
$
808.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Asyst Shinko VHT-CL1-E-1 OHV Overhead Vehicle SOHT-300 300mm FOUP Wafer Transport System. This Shinko 300mm FOUP Lift Assembly VHT-CL1-E-1 ... moreWafer Transport is used working surplus. The physical condition is good, but there are signs of previous use and handling.
$
19.55
Buy It Now
Free Shipping
Condition: Used
Location: Israel
The pictures that you see are the real pictures of one the item, i.e., “what you see is what you get”.
$
303.08
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Entegris H9200-0023 is new surplus. Sold as a Lot of 8. The physical condition is great. Serial numbers or country of manufacture may vary. These ... moreproducts are subject to export restrictions under U.S. law.
$
10.00
Buy It Now
$3.50 Shipping
Condition: New
Location: Glenns Ferry, United States
The carrier is in excellent shape and ready for use.
$
35.19
Buy It Now
Free Shipping
Condition: Used
Location: Clinton, United States
I see is a double backwards E on bottom of box and H74 along one top edge of box. There is H74 on cover. The small plastic insert has Fluoroware and PH7405. ... moreThis is used. A few minor scratches and blemishes from previous use.
$
57.99
Buy It Now
Free Shipping
Condition: Used
Location: Ventura, United States
Fast Dispatch Service. We're down to earth, easy to work with people.
$
3250.00
Buy It Now
Condition: Used
Location: Santa Clara, United States
$
295.99
Buy It Now
Free Shipping
Condition: New – Open box
Location: Ventura, United States
Fast Dispatch Service. We're down to earth, easy to work with people.
$
295.99
Buy It Now
Free Shipping
Condition: New – Open box
Location: Ventura, United States
Fast Dispatch Service. We're down to earth, easy to work with people.
$
295.99
Buy It Now
Free Shipping
Condition: New – Open box
Location: Ventura, United States
Fast Dispatch Service. We're down to earth, easy to work with people.
$
295.99
Buy It Now
Free Shipping
Condition: New – Open box
Location: Ventura, United States
Fast Dispatch Service. We're down to earth, easy to work with people.
$
2512.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary. Part No: 3SC002S-A011.
$
75.00
Buy It Now
$26.00 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
This item is designed for wafer transport processing of silicon wafers. General specifications include: High Profile, Closed Side Wall, Flanges on End ... moreWall and H-Bar End, Handle, 25-Capacity.
$
99.99
Buy It Now
$62.94 Shipping
Condition: Used
Location: Phoenix, United States
Specifications are from Entegris and may vary slightly due to upgrades, options, or revisions this unit may or may not have. The Storage Box's Model Number ... moreReads: Empak HA-200. The Carrier's Model Number Reads: KA200-80MH.
$
4500.00
Buy It Now
$185.00 Shipping
Condition: Used
Location: 충주시, South Korea
BROOKS AUTOMATION 002-0016-34 MagnaTran7 WAFER TRANSPORT ROBOT ARM. This came from a working unit but is missing the spring loaded screw. Does not include ... moreend effector This is sold As-Is.
$
502.73
Buy It Now
Free Shipping
Condition: New
Location: Singapore
Item ID: 24155. Part Number: KA198-80M. Condition: New. We also do not price match against sites with on-going flash sales. We will review and approve ... moreyour price match request on a case by case basis.
$
1203.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Electroglas 258468-001 Wafer Transport 247012-001 4085X is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. Serial numbers or country of manufacture may vary.
$
236.99
Buy It Now
Free Shipping
Condition: New – Open box
Location: Ventura, United States
Fast Dispatch Service. We're down to earth, easy to work with people.
$
592.99
Buy It Now
Free Shipping
Condition: Used
Location: Ventura, United States
Fast Dispatch Service. Model: 03-15-05375. We're down to earth, easy to work with people.
$
12.99
Buy It Now
Free Shipping
Condition: Used
Location: Cleveland, United States
High quality cleanroom class 100 grade single wafer carrier cases are commonly used for the storage, transport and handling ofsemiconductor wafers ,sapphire ... morewafers or othersingle crystal substrates.
$
500.00
Buy It Now
$56.00 Shipping
Condition: New – Open box
Location: 충주시, South Korea
KLA TENCOR 710-404208-00 Wafer Transport PCB Board, NEW . Manufact ure r: KLA TENCOR. Part number: 710-404208-00. Actual Picture of the item is provided. ... moreWhat you see in the picture(s) is what you get.
$
1800.00
Buy It Now
$499.00 Shipping
Condition: Used
Location: Israel
What you see is what you get.
$
480.68
Buy It Now
Condition: Used
Location: Buda, United States
REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. (See Hours of Operation, above).
$
19500.00
Buy It Now
Condition: Used
Location: Goleta, United States
Brooks Automation Wafer Handling Robot w/ Power Supply and Cables USED (7008) R In great cosmetic condition. Removed from a very low hour 2012 Jet Atmospheric ... moreTransport System, we believe it was never used. (These Specifications were taken from an online Brooks Automation brochure and may not be accurate, we believe it is a Razor AWA Wafer Aligner made BUT for 450mm wafers). See pictures for more detail.
$
2699.10
Buy It Now
$599.00 Shipping
Condition: Used
Location: Israel
What you see is what you get.
$
1799.99
Buy It Now
$50.00 Shipping
Condition: Used
Location: Lake Forest, United States
$
935.00
Buy It Now
$400.00 Shipping
Condition: Used
Location: Ventura, United States
South Coast Enterprises Chemical Acid Corrosive Wafer Transport Cabinet(SCE 1000E) t Holds 5" wafers 6 Drawers 24 racks per drawer 144 wafer racks ... morein cabinet Drawer size 29"x24"x6.5" Rack size 5.5"x5.5"x6" 35.00 SHIPPING IS CRATING FEE ONLY This item requires palletized freight shipping. If you would like us to arrange transport. Please do not pay until we have entered the quoted freight shipping rate in your eBay invoice. We are happy to assist in booking transportation for you and can add that service to your order. Please contact us if you would like a freight shipping quote. Local pickup is encouraged, which has no additional fee. If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 33583
$
2999.99
Buy It Now
$45.00 Shipping
Condition: Used
Location: Crystal Lake, United States
Qs eight for seven two one seven five five for six.
$
2202.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This KLA-Tencor 073-404207-00 is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from ... morea KLA-Tencor 5107 Overlay Inspection System. Removed from a KLA-Tencor 5107 Overlay Inspection System.
$
2511.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This JEOL Wafer Loader Transport Robot Assembly JWS-7555S Wafer Defect SEM is used working surplus. Removed from a JEOL JWS-7555S Wafer Defect Review ... moreSEM Scanning Electron Microscope System. The physical condition is good, but there are signs of previous use and handling.
$
309.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Thomson Industries Quickslide is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed ... morefrom a KLA-Tencor 5107 150mm Overlay Inspection System.
$
1175.00
Buy It Now
Condition: New – Open box
Location: China
This is a refurbished spare. There are no cables or hoses for this assembly, what you see is what you get. Sold without warranty of any kind. Model: Nikon.
$
592.99
Buy It Now
Free Shipping
Condition: Used
Location: Ventura, United States
Fast Dispatch Service. Model: 233-2034-08. We're down to earth, easy to work with people.
$
116.99
Buy It Now
Free Shipping
Condition: Used
Location: Ventura, United States
Fast Dispatch Service. We're down to earth, easy to work with people.
$
30.00
Buy It Now
$10.02 Shipping
Condition: Used
Location: Fremont, United States
Entegris X9150-0406 25 slot Wafer Holder Carrier Cassette Transport 150mm/6" Ultrapak Wafershield.
$
5952.26
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Model No: PRA X AXIS ASSY. The Robot does not include the wafer forks or the wafer cooling plate (see photos). The physical condition is good, but there ... moreare signs of previous use and handling. Assembly Part No: 5086-403957-15 X AXIS(E) ASSY,PRA.
$
1507.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Ultratech Stepper Wafer Transport Assembly 03-15-06672 UltraStep 4700 is used working surplus. The physical condition is good, but there are signs ... moreof previous use and handling. Removed from a Ultratech Stepper UltraStep 4700 Titan Wafer Stepper System.
$
150.00
Buy It Now
$82.45 Shipping
Condition: Used
Location: Portland, United States
With its bright yellow color, it's easy to spot and identify, making it a great addition to any workplace.
$
5400.00
Buy It Now
$279.00 Shipping
Condition: Used
Location: Singapore
WAFER TRANSPORT ROBOT ARM Assy. BROOKS AUTOMATION PN: 002-0015-50. USED, Removed from the Tool.
1 2 3
 In 

Former Listings  
 
South Coast Enterprises SCE 1000E Chemical Acid Corrosive Wafer Transport Cabine
South Coast Enterprises SCE 1000E Chemical Acid Corrosive Wafer Transport Cabine Description South Coast Enterprises SCE 1000E Chemical Acid Corrosive Wafer Transport Cabinet Holds 5" wafers 6 Drawers 24 racks per drawer 144 wafer racks in cabinet Drawer size 29"x24"x6.5" Rack size 5.5"x5.5"x6" Manufacturer South Coast Enterprises Manufacturer Part Number SCE 1000E Item/Ship Weight 230 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300. Photos in this listing may not show the exact item we will ship(ie. ... moreextended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 33583 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showi
Brooks MagnaTran7 300mm WAFER TRANSPORT ROBOT ARM 002-0016-34 MAG7 ARM
Brooks MagnaTran7 300mm WAFER TRANSPORT ROBOT ARM PN: 002-0016-34 TESTED WORKING CONDITION Information Condittion used a+++++++++. This unit is fully tested prior to shipping Shipment We will ship your order within 2-3 business days via post airmail. It will usually take 10-14 business days after shipment. Return Policy We carefully inspect the item before shipment. In case you found a defect on the purchase. We will exchange or refund it Contact Us We are willing to respond to your inquiry If you have a question or problem.Please contact us first. It is the fastest way to settle your concern or issue.
Brooks MagnaTran7 300mm WAFER TRANSPORT ROBOT MAG7 ROBOT 003-1600-32
Brooks MagnaTran7 WAFER TRANSPORT ROBOT P/N: 003-1600-32 TESTED WORKING CONDITION Information Condittion used a+++++++++. This unit is fully tested prior to shipping Shipment We will ship your order within 2-3 business days via post airmail. It will usually take 10-14 business days after shipment. Return Policy We carefully inspect the item before shipment. In case you found a defect on the purchase. We will exchange or refund it Contact Us We are willing to respond to your inquiry If you have a question or problem.Please contact us first. It is the fastest way to settle your concern or issue.
Pacific Western Systems (PWS) P5 Wafer Lift Handling Transport Robot Assembly
PWS P5 Wafer Prober Robot Wafer Transport Assembly This was pulled from a working assembly. We were able to test the machine somewhat and the robotics appeared to be in excellent. Working condition. While we don't expect there would be any issues, there are just too many variables with a piece like this to offer any sort of warranty that makes sense. So, sold as is. We received a PWS P5 wafer prober. But it was missing parts, so rather than try to rebuild it, we're parting it out. For more info. Please visit the manufacturer's website: Please check my auctions or email if you are interested in similar or related items. Please email with any questions. Terms of Sale Buyer pays $38 shipping(continental US) HI. AK, PR and Canada pay $78 shipping. Paypal usually ships within 24 hours. International bidders(non-Canadian) PLEASE contact ... moreus if you're interested in bidding. Thanks for your interest. Please email with any questions.
Wafer Boat Elevator Loader Transport, w/ Mini Angle Stepper Motor
All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item. The Item may vary from picture as manufacture may change model appearance. MHZ ELECTRONICS INC. CALL NICK- 1-866-MHZ-ELEC- MHZ ELECTRONICS INC. CALL NICK- 1-866-MHZ-ELEC- MHZ ELECTRONICS INC. CALL NICK- 1-866-MHZ-ELEC- MHZ ELECTRONICS INC. CALL NICK- 1-866-MHZ-ELEC- Wafer Boat Elevator Loader Transport. W/ Mini Angle Stepper Motor comes with what you see in the pictures. If you don't see it. You probably won’t get it. Specifications are from manufacturer and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. eBay’s description of“USED” and our description ... moreof“USED” is different, therefore because eBay requires the filling in of this field, we state as“USED” PLEASE READ OUR“CONDITION” for our description of“USED” Manufacture of Stepper Motor: Astrosyn Part Number Motor: 23LM-C309 Type: Wafer Boat Elevator Loader Transport. W/ Mini Angle Stepper Retail Price: $999.99 Dimensions H x L x W: 10.5 x 7.5 x 7.75 inches Weight of Item: 7 LBS(Does not include Pallet or Packing) Condition: Removed from service. Pulled from working machine(need a good Scrubdown) General Description: Wafer Boat Elevator Loader Transport. W/ Mini Angle Stepper Motor Features(May Include) Wafer Loader Speed up Production For More Pictures Please Click the Following: The charge for freight will be determined by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items it will be determined by the size and the care that has to go into packing an item. Please feel free to ask any Questions before buying. Most of the time. People are impressed with the job we do because we customize our own skids for larger items and we take the utmost care to provide a safe and cozy journey for your item. We st
Entegris Model SB300 Full Pitch FOSB 300mm Silicon Wafer Transport Cassettes
Entegris Model SB300 Full Pitch FOSB 300mm Silicon Wafer Transport Cassettes 50-100 Each Available. Please Specify Quantity Required These are two piece wafer transport cassettes and shippers with removable inner cassettes: One piece is transport cassette. Second is cover Excellent Condition. Appear brand new 25 slot Teflon Insert can be removed to transport other items or equipment safely and securely Secure locking mechanism on top two sides. See close up of mechanisms for secure wafer transport and travel; While cleaning is certainly not necessary. If you want them cleaned and bagged, just let us know and we can provide a quote based on the quantity you require. Check Out: Purchase: Making purchases is easy and simple. To place an order for procurement. Please click the“Buy It Now” button. Follow to the next page for payment instructions. ... morePayment: Click the“Pay Now” button at the top of the E-Bay page to proceed with the checkout and payment process. Payment must be made within 5 days of purchase. Accepted Forms: We accept PayPal. Or you may pay on your credit card via PayPal. When paying via PayPal. Payments must include your shipping address and should be submitted via the E-bay payment process. Sales Tax: For California customers. Sales tax is added and depends upon your location. If you are tax exempt. Please provide a copy of your Tax Exempt Certificate prior to check out. Please do not send payment before receiving a revised invoice. Shipping: Shipping rates are the responsibility of the customer and are not refundable. Rates include handling. Packaging materials, label scanning and tracking information. Shipping rates are based upon the weight and dimensions of the package and your location. If we have packaging materials for smaller items. We include packaging and handling at no charge. If they are unavailable, we charge our cost. For larger capital equipment, please contact us for crating costs and exact weight prior to shipment as the crate will add weight to the tool set. Insurance: Should
South Coast Enterprises Chemical Acid Corrosive Wafer Transport Cabinet
South Coast Enterprises Chemical Acid Corrosive Wafer Transport Cabinet(SCE 1000E) t Holds 5" wafers 6 Drawers 24 racks per drawer 144 wafer racks in cabinet Drawer size 29"x24"x6.5" Rack size 5.5"x5.5"x6" 35.00 SHIPPING IS CRATING FEE ONLY This item requires palletized freight shipping. If you would like us to arrange transport. Please do not pay until we have entered the quoted freight shipping rate in your eBay invoice. We are happy to assist in booking transportation for you and can add that service to your order. Please contact us if you would like a freight shipping quote. Local pickup is encouraged, which has no additional fee. If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located ... moreat: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 33583
SVG Silicon Valley Group 90S ASML Wafer Transport Robot Arm Shuttle Long
SVG Silicon Valley Group 90S ASML Wafer Transport Robot Arm Shuttle Long Long wafer track shuttle assembly. Has robotic wafer arm on top. This item qualifies for eBay Fast N Free- 1 day handling and free domestic shipping with Fedex Ground to lower 48 USA. AK. HI, PR to pay actual shipping. We care enough to take multiple pictures of our items for sale and all pictures are of the actual item for sale. This item is ready to be shipped upon your purchase. Please email us if you have any questions on this item. All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 14 day not DOA satisfaction guarantee. zm3_gsrx_vers_526(GS 6.6.6(526)
AMAT Rorze ATM Wafer Robot Automated Lift Transport Transfer Station RR304L120
Search our eBay Store! AMAT Rorze ATM Wafer Robot Automated Lift Transport Transfer Station RR304L120 SKU: HS-TER-C-RR6140 Condition: Used Packaging: Pallet/Skid Warranty: 30 day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are only included if pictured or listed in description. If in doubt, please contact us before purchasing. Click an Image to Enlarge New Page 1 AMAT Applied Materials Rorze RR6140 Wafer Transfer Station w/RR304L120-123-001 ATM Wafer Handler Robot+Controller Station Model: RR6140. 200VAC; 60HZ. ATM Wafer Robot Model: RR304L120-123-001 Wafer Robot Controller Model: NA Physical Condition: Excellent. We do not have the necessary resources in our facility to test this unit. Station Dimensions(L"W"H" 31* 58* 70 1/4 Station w/Pallet ... moreDimensions(L"W"H" 45* 70* 76. Please visit the following link for product information(For Informational Purposes Only) All parts. Including accessories and cables are only in cluded if pictured or listed in the description. All pictures are taken in house. If you require more information. Please contact the manufacturer and/or research online. As a large liquidator, we do not carry additional information beyond what has been provided to us above. This FDA DISCLAIMER is for MEDICAL DEVICES only and not for all our products: The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. Our Contact: SVC ASSET MANAGEMENT. INC. 1096 PECTEN CT MILPITAS. CA 95035 Open Monday through Friday 10AM-6PM PST(California) 1(877)757-3863 If you're dissatisfied
MIRRA AMAT 0020-7796 Wafer Robot Assembly Transport Applied Materials Dual Blade
MIRRA Applied Materials: Wafer Robot Assembly. With cables: 0140-77063& 01040-77066 Removed form 100" long linear slide: 0020-78224 Robot only As Is. Some scrapes and scratches from handling. One socket on circuit board and mating plug minor damaged from handling. I will ship on your account. Check out my! EBRULPh:DuaolBladeWaferRobot) RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*a4%601gc%3E-144cceb9234-0xf4-
MIRRA AMAT Wafer Robot Assembly Transport Plastic guards & Aluminum Brackets
Listed for local pick-up. I will pack and ship at additional costs. The long aluminum bracket makes it difficult to estimate shipping charges until the packed and weighed to determine exact costs. Not a lot of weight. Just large and long packages. MIRRA Applied Materials: Wafer Robot Plastic Covers and Aluminum Brackets. Removed form 100" long linear slide: 0020-78224 Covers and Brackets only. As Is. Some scrapes and scratches from handling. Some spring loaded screws missing. I will ship on your account. Check out my! LL.
ASYST 9700-6312-01 Wafer Transport Robot System By Mecs UTM-3500NS
afer Transport robot system from Asyst is surplus. The system includes the Asyst robot arm with controller. An Asyst teach pendant with cable, end effectors, and a MECS wafer pre-aligner as in photos. The system is mounted to a wheeled frame. One sensor missing on one of the 4 wafer stack bases. As is. For additional information please ask a question. Check out my! LL#1.
WEI Wafer Carrier Transport System, Diffusion Furnace Elevator Robotic, 3 Axis
The system comprises a two. Three or four short pin engagement system for engaging a wafer carrier for transporting semiconductor wafers by means of a three axis robotic transportation system for the purpose of processing semiconductor wafers in a factory. The multiple pin assembly allows a large reduction in travel distance with respect to prior art long rod engagemen. This system is designed to raise and lower wafer cassettes onto Silicon Carbide Paddles or other transport on the diffusion furnace load station as well as interface with optional WIP(Work in Progress) staging system. Can load and unload both Teflon Cassettes and Quartz boats. Interface with Mactronix wafer transport system and diffusion furnace. Triple axis on the robotic transportation system as well as ability to raise and lower wafers allows for multi faceted use. All ... moreStainless steel top frame. Steel support frame and Teflon Coated end effector to remove wafers at heat. Removed from a fab in Operational Condition. Load Station with Cantilevers and WIP Rack with Mactronix Systems also available. Check Out: Purchase: Making purchases is easy and simple. To place an order for procurement. Please click the“Buy It Now” button. Follow to the next page for payment instructions. Payment: Click the“Pay Now” button at the top of the E-Bay page to proceed with the checkout and payment process. Payment must be made within 5 days of purchase. Accepted Forms: We accept PayPal. Or you may pay on your credit card via PayPal. When paying via PayPal. Payments must include your shipping address and should be submitted via the E-bay payment process. Sales Tax: For California customers. Sales tax is added and depends upon your location. If you are tax exempt. Please provide a copy of your Tax Exempt Certificate prior to check out. Please do not send payment before receiving a revised invoice. Shipping: Shipping rates are the responsibility of the customer and are not refundable. Rates include handling. Packaging materials, label scanning and tracking info
Brooks Automation MagnaTran7 300mm WAFER TRANSPORT ROBOT MAG7 ROBOT
Item Details Make Brooks Automation. Model Number MagnaTran 7 Software/Options Unknown. Tested Untested. Please assume it is non working. Accessories Only items pictured. Contact seller if you have any questions. Condition Used items. Great condition. ADDITIONAL INFORMATION Item is sold AS-IS unless otherwise noted(see item description) Item is sold without accessories probes. Power cord, software, carrying case, etc. unless specifically mentioned or pictured in the description. Item descriptions are as accurate as possible but may contain errors. Photo images are of the actual item. What you see is what you get. Shades of color may be different depending on your computer. Technical information is provided as available. ROR: Item has 5 day ROR(right of return) for a full refund minus s/h BOTH WAYS. Buyer pays return freight for ROR items. ... moreRefund is for bid amount only. Shipping and handling is not refundable. Original shipping container and packaging materials must be retained for ROR items. HANDLING FEE IS NOT REFUNDABLE. Payment is expected within 3 days of auction end or time of purchase. We reserve the right to rescind any sale if not contacted within 3 days. PLEASE contact us IMMEDIATELY if you have a problem with our item(s) or service. We pride ourselves on exemplary customer service and communication. We work hard to satisfy all customers. Your feedback is important to us. PLEASE contact us to discuss your concerns. We have access to many types. Brands of test equipment. If you have a particular requirement. Please contact us with make and model number and we will attempt to get it for you. ITEM PAYMENT INFORMATION North America Buyers: Items will be shipped once funds are cleared. Wire Transfers. Money Orders, Company Check, Personal Check or Bank Check are acceptable. For items over $2.000, wire transfer is the preferred payment method. average 15 days for funds to be cleared) International Buyers: Wire transfer of funds preferred. There is normally a wire transfer fee depending on the or
SMIF WAFER CASSETTE TRANSPORT SYSTEM MODEL 002.7200.14
SMIF WAFER CASSETTE TRANSPORT SYSTEM EXCELLENT CONDITION. BELIEVE THESE WERE USED WITH CD SEM'S I HAVE THREE AND WILL LIST EACH INDIVIDUAL. WILL ENTERTAIN BUNDLE OFFERS. THESE UNITS ARE SOLD AS-IS-WHERE-IS. NO WARRANTIES ARE EXPRESSED OR IMPLIED
South Coast Enterprises Chemical Acid Corrosive Wafer Transport Cabinet SCE 1000
t. Holds 5" wafers. 6 Drawers 24 racks per drawer. 144 wafer racks in cabinet. Drawer size 29"x24"x6.5" Rack size 5.5"x5.5"x6" SKU 33583
PA 72 40mb Wafer Boat Transport Fluoroware Cassette Holder storage wafers teflon
Absolute Top Quality Excellent Holds wafers Fluoroware PA 72 40mb High purity Excellent Wafers Kept safe and cooled safe environment until shipped to winner. High Quality Absolutely Gorgeous Just a bit over 5" Inches Wide. Please understand these are not your average kept for wafer holders/boat. These were and a re being stored properly and correctly in the right environment; not being near or touched by anything. Shipping and Handling Costs No international shipping unless discussed before bidding. Only shipping to usa Some of this description in shipping and costs is general basic policies and does not apply to every item. If it doesn't sound like it applies it may not, etc. If you have any questions please ask before bidding. No international shipping. Shipment will be within business days monday-friday from time of cleared payment. ... moreIf Monday is a postal holiday shipments will be made on Tuesday. will not be held liable for the rare occasion of damage of item(s) by shippers. any damage to item(s) from shippers claims must be made by receiver. No shipping for large items. Pickup is the sole responsibility of buyer,not liable for any occurrences. Winner must use and also make all safety and proper pickup precautions of setting up and picking up. No combined shipping. May be placed in more suitable shipping packaging.Any questions please email before bidding. Terms Of Sale Please be patient in receiving responses. payment must be received via PayPal within 6 business days of purchase. We are reasonable and caring- if 6 days isn't enough thats ok just let us know though and we'll figure it out. We have unpaid assistant set to"on" in our account. Thus the system is automatic. May be shipped in double box or may not.if payment has not been received within this time frame the automatic ebay assistant automatically sends an unpaid item report to Ebay and rights to the item are be forfeited. items are sold as is. Where is with no warranty, expressed written or implied. seller shall not be res
Fluoroware KA200-80 MHR Advanced High-Profile Wafer Transport Carrier (holds 25)
Fluoroware KA200-80 MHR Advanced High-Profile Wafer Transport Carrier(holds 25) SHIPPING NOTE: We offer several shipping options. Including FedEx Overnight. To see your different options, please click on the"Shipping and Payments" tab. This listing is for a Fluoroware KA200-80 MHR Advanced High-Profile Wafer Transport Carrier(holds up to 25 wafers) Make: Fluoroware Model/ Part#KA200-80 MHR Description: Wafer Carrier Includes all/only items pictured. Testing: We don't have the resources necessary to thoroughly test everything. We do test items the best that we can though and try to describe them as honestly and accurately as we can. Here is what I am able to tell you about this item: Cosmetic Condition: Used in great shape with normal user wear in a few scuffs/scratches. Overall nice. Closures are perfect. Holds up to 25 wafers ... morefor secure transport. Thank you. Please note that we(Kellan Inc) are a commercial and industrial surplus product dealer. We acquire our products from company closures. Obsolete systems, government surplus, overstock, etc. Unless otherwise indicated, all products include our 14-day warranty. However, most products do not include a"manufacturer's warranty" Products are tested to the best of our abilities. This can include a power-up test. Navigating menus, performing simple operational tests, etc. We do not always have the resources and/or abilities to fully test each item we sell. However, we do guarantee and warranty all of our products(unless otherwise advertised"as is" broken" etc. Our standard guarantee/warranty period is 14 days. This period begins on the delivery date. This gives the customer enough time to receive, inspect, and test the product- to make sure the product is satisfactory. If there are any issues, please contact us. We present our surplus products as accurately as possible. Please note that our products only include the pictured items. If an item is not in the picture(i.e. a power adapter. Electrode, original packaging, etc. it