Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
60.00
Buy It Now
Free Shipping
Condition: New
Location: Kansas City, United States
This product is ideal for use in various processes, and its chemical properties ensure optimal performance.
$
60.00
Buy It Now
Free Shipping
Condition: New
Location: Kansas City, United States
The unit type of this product is gal, which makes it easy to use and handle. It is designed to enhance performance and ensure optimal results.
$
175.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Tegal 50590-01 PCB Plasma Etching is used untested surplus and is being sold as-is. The physical condition is fair, but there are signs of previous ... moreuse and handling. Serial numbers or country of manufacture may vary.
$
5900.00
Buy It Now
$350.00 Shipping
Condition: New
Location: Carson City, United States
Pump not included.
$
3800.00
Buy It Now
$80.00 Shipping
Condition: New
Location: Carson City, United States
The Plasma Wand is a handheld device that requires no external gas hookup; just plug it in and start plasma cleaning! Plasma Wands are ideal for cleaning ... moreand plasma surface modification of large objects before bonding or printing.
$
24999.00
Buy It Now
Condition: Used
Location: Singapore
Model: Plasmalab 80 Plus/ 80+ ICP65. Type: ICP Inductively Coupled Plasma Etch Tool. Comdel CX-600S Ultra Stable 13.56MHz RF Generator.
$
41999.00
Buy It Now
Condition: Used
Location: Singapore
Type: Reactive Ion Etcher (RIE). Make: Trion Technology. Model: Phantom II. Advanced Energy 3155082-220 RF Generator (Qty:2). Unit Specifications. Pfeiffer ... moreTPH 521 P C PMP02861H Turbo Pump.
$
650.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
2850.00
Buy It Now
$148.60 Shipping
Condition: Used
Location: Pioneer, United States
$
4900.00
Buy It Now
$350.00 Shipping
Condition: New
Location: Carson City, United States
$
199.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
P/N 330422550. PLASMA-THERM 700 PLASMA ETCHER PCB. LOGANSEMI 2547602424.
$
5625.00
Buy It Now
Condition: For parts or not working
Location: Bosque Farms, United States
For us to check rates, we need the following info: 1. We are quick to respond and resolve. We do not have power cords to provide unless they are attached ... moreto the item or unless stated that they are provided.
$
19250.00
Buy It Now
Condition: Used
Location: Longwood, United States
Plasma Etch BT-1/C Plasma Etcher / Plasma Cleaner. Includes vacuum pump. 70"x36”x32”Unit Weight.
$
4999.99
Buy It Now
$218.00 Shipping
Condition: Used
Location: Milton Freewater, United States
THIS IS A WORKING PLASMA ETCH PE-50. W/0113 RF POWER SOURCE.
$
850.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
450.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
LAM RESEARCH 590/490 LOAD / UNLOAD ARM. LOGANSEMI 2547602424.
$
395.00
Buy It Now
Free Shipping
Condition: Used
Location: Rochester, United States
Tegal 99-165-003.
$
120.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Tegal Model 903e Plasma ETCHER Quartz Pins, Set of 6.
$
55000.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
Vacuum load lock with wafer transfer robot. Can process wafers from 2” to 8” depending on which process kit is installed. High frequency RF-based inductively ... morecoupled plasma source capable of high density plasma generation.
$
250.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
TEGAL 9XX 99-200-005 SIS-5.
$
230.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
10499.99
Buy It Now
Condition: Used
Location: Fullerton, United States
Unable to test unit due to missing plug connector on power cable. Pictures are of the actual unit. Power cable is missing the end connector. The item ... moreis sold AS-IS Where is. We allow local pick up.
$
7500.00
Buy It Now
Condition: Used
Location: Phoenix, United States
Automated Plasma, Inc. Self Contained Plasma Etching System PC Boards. Stokes Pennwalt 3Q6-41 Vacuum system with blower. Pyramid Cabinet, Front Loading, ... moreSix (6) Panel.
$
2499.00
Buy It Now
$1880.00 Shipping
Condition: Used
Location: Israel
2008 pva tepla TECHNICS 100 plasma system Asher System Etcher Cleaner + Pump. Downstream microwave frequency O2 plasma asher for photoresist descum. 2.45 ... moreGHz regulated magnetron, 0-300W power. Max Short-Current Circuit: 16 A.
$
115000.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
Capable of sequential and simultaneous deposition of up to two targets at once. Substrates are loaded automatically by the load lock robot. Manual operation ... morealso available. RF Plasma Cleaning for conditioning substrates prior to deposition.
$
775.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
TEGAL PLASMA ETCHER 9XX ENDPOINT DETECTOR. ITEM CD 1010-00102.
$
650.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
LAM RESEARCH 490 LOWER ELECTRODE. LOGANSEMI 2547602424.
$
79999.00
Buy It Now
Condition: Used
Location: Singapore
Model: VERSALINE ICP PM #2. Type: ICP Etcher. All/ only parts/ components/ cables as shown.
$
275.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
TEGAL PLASMA ETCHER 9XX 98-247-002, TSI. Logan Technologies, LP 2547602424.
$
2399.95
Buy It Now
$499.00 Shipping
Condition: For parts or not working
Location: Sacramento, United States
We work hard to include a lot of pictures of each item. It is also subject to change during peak periods.
$
425.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
450.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
LAM RESEARCH 590/490 RECIPE / SERVICE MODULE.
$
1758.49
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi M-511E is used working surplus. The physical condition is good, but there are scratches from previous use and handling. Removed from a M-511E ... moreMicrowave Plasma Etching System. Removed from a M-511E Microwave Plasma Etching System.
$
37750.00
Buy It Now
Condition: Seller refurbished
Location: Palisades Park, United States
March PX-1000 Plasma System Fully Refurbished with 6 Month Warranty Quick Shipment Possible. Also available with 1000 Watt RF Generator A Video of this ... moresystem in operation is available on request. The listed price is for a base unit consisting of: RFX600 RF Generator- 600 watts One set of Power and Ground Shelves. All standard system features. 2 MFC Controllers Options available include: 1000 Watt ACG10B RF Generator Additional Shelf Sets Additional MFC Controllers Vacuum pumps for Oxygen service. Or Hydrocarbon pumps for non- Oxygen Plasma processes. Vertical door system Please note: Other plasma systems are available. Including smaller March PX-500 and PX-250 systems, as well as Plasma Systems from other manufacturers. Over 50 Plasma Systems in inventory. For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for many years You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our facility is located in the metropolitan NYC area. Customers are welcome to visit for acceptance testing/inspection. Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount.
$
650.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
23500.00
Buy It Now
Condition: Used
Location: Palisades Park, United States
Plasma 901e Tegal Etcher With Manual. SOLD AS SEEN IN PICTURES! Not tested. Cassette to Cassette Poly/Nitride Plasma Etcher*Easy to use menu driven control*Input ... moregases controlled by MFC. Up to 4 MFC with system*Non-friction spatula wafer transport- Power requirement: 208 VAC 25A 1 PH- S/N: CU50134- Item# CU9000-50134-Volt: 200/208 VAC- Curr. 30 Amps- Freq. 50/60 Hz- Wires: 2+ ground- Wfg: 11/1999- m eter reads: 5081-RCTN Chamber item No. CC1106-01302 NOTE: We do not know the wafer size of the unit. If you know a way to tell. Please let us know. Specifics Below are off the internet: This is only to help. We do not know if this is exactly what we have. Please ask questions. Wafer Size Capacity: 3.4,5,6 inch Cassette To Cassette In-line Single Wafer Plasma Etcher.Capability to etch vias and contacts with anisotropic or sloped profiles.For etching silicon dioxide. Silicon nitrides, and polyimides.Can handle wafers from up to 6 in. Microprocessor control.208 V, 50/60 Hz. Tegal 901e series Plasma/RIE etch System are used by the Semiconductor Industry for integrated circuit fabrication. The system are used in one part of the sequence of manufacturing steps that transfer a pattern formed from a layer of photosensitive material, the photoresist, to a layer that makes up a permanent part of the final device. The process of defining a pattern with photoresist known as photolithography, while the etch process transfers the photoresist pattern to the permanent layer.Tegal 900 Series systems deliver highly reliable, repeatable results in etching a variety of films used to manufacture semiconductor, telecommunications and optoelectronics devices, flat panel displays and thin film magnetic heads.Tegal 901e,are designed around a production-proven wafer transport design that can accommodate 75 mm to 150 mm round silicon, GaAs, InP, and dielectric material substrates. The transport can also be configured to accommodate rectangular substrates up to 125 mm on a side. Typical Applications for Tegal 901e:1. Nitr
$
32000.00
Buy It Now
Condition: Used
Location: Switzerland
STS / CPX Multiplex is a high-precision etcher-asher designed for the production of high quality microelectronic systems. CPX Multiplex has a 4-axis robotic ... morearm that can position the substrate at any angle, and the laser beam can be directed with precision.
$
10000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
The chamber is apparently still under vacuum, because I could not get the door to open. "The sale of this item may be subject to regulation by the U.S. ... moreFood and Drug Administration and state and local regulatory agencies.
$
850.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
LAM RESEARCH 590/490 EXIT LIFTER ASSEMBLY. Logan Technologies, LP 2547602424. LOGANSEMI 2547602424.
$
326.70
Buy It Now
$100.00 Shipping
Condition: Used
Location: Ventura, United States
Drytek S100 Wafer Power System w Jennings RB2A-26N00 Relay& Cardwell Parts(RF) Drytek S100 Wafer RF Power System w Jennings RB2A-26N00 Relay& ... moreCardwell Parts Jennings RB2A-26N00 Glass Relay 20kVDC Cardwell 229-207-2 Roller Inductor Coil Cardwell 154-3-1 Air Variable Capacitor QTY = 4: High Energy Corp. HECHT50 120pf Ceramic Capacitors QTY = 1: High Energy Corp. CRC850 Surge Suppressor Aluminum plate dimensions: 6.0" x 6.25" This unit was pulled from a Drytek 100S Plasma Wafer Etcher Overall dimensions: 18.5" x 17" x 13.5" 45 LBS, If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48459
$
702.90
Buy It Now
$600.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Click here to see description.
$
201.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi Etcher Recorder Printer Assembly is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... moreRemoved from a Hitachi M-511E Microwave Plasma Etching System.
$
280.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Logan Technologies, LP 2547602424.
$
550.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
INCLUDES 8 EA. NUPRO SS-4BKTW-1C VALVES AND 6 EACH SS-42XVCR4 MANUAL VALVES. LAM RESEARCH 590/490 GAS MANIFOLD. LOGANSEMI 2547602424.
$
8723.78
Buy It Now
$242.99 Shipping
Condition: Used
Location: Canada
$
1003.12
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Lam Research 4420 Rainbow Plasma Etcher System. This Lam Research 852-011061-103 is used working surplus. The physical condition is good, ... morebut there are signs of previous use and handling.
$
48980.00
Buy It Now
Condition: Seller refurbished
Location: North Billerica, United States
Reactive Ion Etcher with manual load Load lock. 6 MFCs-BCl3. Cl2, CH4, H2, Ar, O2) Pfeiffer Turbo TPH330PC and turbo controller on the Main chamber. Pfeiffer ... moreTurbo TMH 260C on the Load Lock. Advanced Energy RFX600 RF 600 Watt power supply. System sold as is.Where is.
$
160.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Tegal Model 903e Plasma ETCHER SST TEMPERATURE PROBE. LOGAN TECHNOLOGIES, LP 2547734070.
$
7425.00
Buy It Now
$700.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Click here to see description.
$
3200.00
Buy It Now
Condition: Used
Location: Vista, United States
Technics PE II Planar Etch II Plasma Etcher Asher with 13.56 MHz RF Generator Description Technics PE II Planar Etch II Plasma Etcher Asher with 13.56 ... moreMHz Model 750 RF Plasma Generator. The Technics PE-II is a plasma-induced etcher that can be used for photoresist ashing. Surface cleaning, and surface treatment and/or etching of various materials. This is equipped with a RF energy power supply(13.56 MHz) and is used with process gas. The RF power is variable allowing the operator to tailor the plasma density to suit the etching requirements. The system is equipped with a heater and thermocouple to monitor the temperature. This item requires freight shipping please contact us for a quote prior to purchasing. Manufacturer Technics Manufacturer Part Number PE II Item/Ship Weight 153 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item may require palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 44235 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc.
$
950.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
PLASMA-THERM 700 PLASMA ETCHER SBC COMPUTER PCB. Logan Technologies, LP 2547602424.
$
2100.00
Buy It Now
$400.00 Shipping
Condition: For parts or not working
Location: Canada
Up for sale is a used Plasmionique FLR 300-TT Plasma Coater as can be seen in the pictures. This has been tested for power on ONLY, therefore, it's sold ... moreas-is, as-described. Nothing else is included.No vacuum pump is included.
$
100.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
TEGAL PLASMA ETCHER 9XX 99-246-003. Logan Technologies, LP 2547602424.
$
175.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Tegal Model 903e Plasma Etcher FRONT PANEL INTERFACE PCB. Logansemi 2547602424.
$
2004.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Plasma-Therm Clusterlock 7000 PM RIE Reactive Ion Etching System. The physical condition is good, but there are signs of previous use and ... morehandling. Part No: 714. Axiom Single Board Computer SBC Part No: SSC-486VGA.
$
18.70
Buy It Now
$4.47 Shipping
Condition: New – Open box
Location: Ventura, United States
Tegal 40-297-002 903e Pin for Plasma Etcher Description Tegal 40-297-002 903e Pin Manufacturer Tegal Manufacturer Part Number 40-297-002 Item/Ship Weight ... more2 LBS Tech Condition This item is new. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300. Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping We will professionally package. Using instapak foam-in-place if needed, and insure this product for safe delivery. Domestic shipping rates are available using the shipping calculator below, subject to terms& conditions. Please contact us for international shipping rates. Local pickup is encouraged for which all shipping and handling fees will be waived PID 27387 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package is too large to qualify. As such. We may need to contact you before shipping to make an adjustment to a different method which may change the price for shipping as well. This bug has been reported, but they gave no timeline for a fix, so if you are purchasing an item on the larger side(boxed size approx. 20x20x10 or more) and plan on choosing a USPS shipping meth
$
57.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
TEGAL 9XX PCB. SECOND SOURCE SPI-98-223-002 REV A.
$
1256.07
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi M-511E Wafer Cassette Ergo Loader is used working surplus. The physical condition is great, but there are signs of previous use and handling. ... moreRemoved from a Hitachi M-511E Microwave Plasma Etching System.
$
9999.99
Buy It Now
$350.00 Shipping
Condition: Used
Location: Milton Freewater, United States
$
225.00
Buy It Now
$18.40 Shipping
Condition: New – Open box
Location: Carpinteria, United States
Tegal 81-007-138. System was de-installed in working operational condition. WARRANTY IS NULL VOID IF ANY ITEM IS TAMPERED WITH, OPENED OR DISASSEMBLED. ... moreIF THERE ARE ANY PROBLEMS WITH THE ITEM DO NOT TRY TO REPAIR AND INVESTIGATE.
1 2 3 4 5 6 7 8 9 10
 In 

Former Listings  
 
Trion Oracle Plasma Etch & Deposition System
Trion Oracle Plasma Etch& Deposition System Description Trion Oracle Plasma Etch& Deposition System Varian Turbo-V 300 ICE MacroTorr Turbo Pumps Varian MoniTorr Transducer and Controller MKS Type 153 Control Valve Trion Gas Cabinets with Control Valves Trion Computer with controller cards This item requires freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Trion Manufacturer Part Number Oracle Item/Ship Weight 1530 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the ... moreexact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 43734 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The c
Plasma-Therm Inc.AMNS-3000E Reactive Ion Etch System w/RF Controller and PS L140
Used Plasma-Therm Inc. AMNS-3000E Reactive Ion Etch System with RF Controller and Power Supply. Including(1) APS/PST, 1) MPS-1, 1) APS-3 Programmable Sequencer, 1) APCS-3(1) AMNPS-1, 1) RF Plasma Products HFS 3000 D, 1) Vacuum General 78-6 Pressure Indicator, 1) Vacuum General 78-2 Throttle Valve Control, 1) Vacuum General 77-4 Gas Ratio Flow Control. 115V 50/60Hz. Vintage 1979. Directive for Customer Service Questions: During business days. We will make every effort to respond to your emails as soon as possible. If you have any questions about this item or other items in our list, please contact us prior to bidding. Over the weekend or holidays, the questions will be answered in the first opportunity afterward. Sold As-Is. Where-Is: Most of the items listed were used in one form or another in our laboratory for different research and development ... moreprojects. And are now sold due to the termination of these projects. At this stage we are not able to completely test and calibrate the items, therefore, all items are sold as-is. Where-is, without warranty or guarantee. Unless specified in the listing. All sales are final. Please ask all pertinent questions regarding the condition of the item before you make your purchase. As your selection may prohibit other customers from bidding. The operation of the items is the customer’s sole responsibility, and he/she must have the professional skills to safely operate them. We reserve the right to refuse a return based on customer not fully understanding the item description and the proper way of operation or changing his/her mind. DOA or Incorrect Product: All items described to be in operational condition but received Dead on Arrival(DOA) can be returned for replacement or refund(when no replacement is available) Only if we are notified within 7 days of receipt of product. Compensation will be provided upon actual return receipt, physical examination, testing, and serial verification of the item and all sent accessories. If any one of these criteria is not met,
LFE Corporation PFS/PCrE/PDS-501 ICP Barrel Type Plasma Chrome Etch System
LFE Corporation PFS/PCrE/PDS-501 ICP Barrel Type Plasma Chrome Etch System Description LFE Corporation PFS/PCrE/PDS-501 ICP Barrel Type Plasma Chrome Etch System diameter of interior barrel: 13 1/2" This item requires freight shipping please contact us for a quote prior to purchasing. Manufacturer LFE Corporation Manufacturer Part Number PFS/PCrE/PDS-501 Item/Ship Weight 282 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers ... moremay not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item may require palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 44794 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package
Drytek/Lam 384T 150 MM Oxide ESC Plasma Etch Process Chamber
Drytek 384T 150MM Oxide ESC Plasma Etch Chamber Removed from a Drytek 384T We'll ship Worldwide. Pickup only. All crating and shipping to be paid the buyer. Available for inspection. Sold"AS IS" If you have any questions. Please call logan Technologies, LP(254) 773-4070?265ae.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28%3E%3F265ae-13f6ddd3b43-0xf8-
Tegal Plasmaline Model 421 Barrel Etch Plasma System Wafer Etcher w/ Gauges
This listing is for a Tegal Plasmaline Model 421 Barrel Etch Plasma System Wafer Etcher w/ Gauges Local Pickup always Welcome! Dallas. TX_ For Sale is a Tegal Plasmaline Model 421 Barrel Etch Plasma System Wafer Etcher including Power Supply. SR-250D Gauge, Fischer& Porter Gauge Model 10A1337, and Operating Manual. This unit has been tested working properly. This unit is in good condition and has the regular use marks for an item like this. We not sure how many hours have been put on this Plasmaline but it was taken from a working enviroment. Message me if you would like to get a shipping quote for Freight. Please let me know if you have any questions or concerns. Does not include original packaging. We have described this item to the best of our knowledge and ability. Please carefully examine each of the photos and ask me if need any ... moreother information before you purchase. Each of our items is carefully packaged at our warehouse to ensure a safe shipment. After winning this auction you will be sent a tracking number for your item. We mainly ship with UPS or USPS for reliability and speed. If you want additional information regarding the content of this item please refer to the manufacturer for more details. If you have any questions please feel free to Contact Us. TechNextDoor Store Policies: Note: International Bidders requesting a shipping quote must leave a address to calculate shipping. All Items are shipped with Insurance. Items over $250 will require a signature upon delivery. I do not offer warranty or technical support on products listed. Local Pickup is welcomed. Please contact me for appointment. International bidders are responsible for any international fees or dues. In many cases these items are consigned or purchased second hand. I do not always know the full history or how to operate and repair these items. I try to the best of my knowledge to list the item accurately. Please note: We process and ship orders Mon– Fri and are unable to offer w
Plasma Therm Model PK 1241 PE/RIE Dual Plasma & Reactive Ion Etch System
This auction is for a Plasma Therm Model PK 1241 PE/RIE dual plasma and reactive ion etch system. As you can see from the pictures. This unit is in clean condition with minimal wear. The main condition issues with this machine are: 1) Missing wheel caster Chipped formica top Missing gauge/dummy plate Missing side panels I lack the electrical power requirements to test this unit so full functionality is unknown. Feel free to contact me with any questions. Thanks for looking and happy bidding! Powered by The free listing tool. List your items fast and easy and manage your active items. 2p5ddv.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B26rk%3E2p5ddv-1432ef8826c-0xe2-
MKS PID mid Vacuum Throttle Valve Controller - Type 250B-1-A Plasma Etch CVD
MKS Instruments( PID mid)Vacuum Throttle Valve Pressure Controller- Type 250B-1-A Used in conjunction with analog servo motorized flapper throttle valves from MKS and MKS Baratron capacitance diaphragm pressure/ vacuum sensors& gauge instruments( for pressure input) instrument has GAIN. PHASE and INPUT SETPOINT adjustments to name a few used in Plasma Etch. CVD, sputtering and more, for precise adaptive process chamber Real Time pressure control. Unit is USED- for PARTS ONLY( but likely with a fuse and fuse holder cap you might find some life in the instrument. As MKS are rock solid superb process instruments, else an easy fix likely) Note- we still provide a 14 day warranty. You can return within that timeframe for any reason- see full return policy below_ MOST REGULAR ITEMS* What you see is what you get! Pictures are taken at the time ... moreof listing. If it's not in the photos. It's not included in the lot, unless otherwise stated directly in the ad. Sorry! You can't have the tape measure. We're still using it. Available for local pick up at our office in Hayward. California Shipping quoted includes any packaging& handling fees plus UPS Ground(5-7 days) Insured, to the lower 48 States only. Orders to Hawaii& Alaska will be filled only if item can be shipped by US Postal Flat Rate Box. Puerto Rico orders will ship by USPS Parcel Post and cannot be tracked after they have left the United States. If you need it shipped elsewhere. Please contact us for a shipping quote. Depending on your location, your shipping may be less, in which case we will refund the difference. Returns are accepted within 14 days. Re-stocking fees may apply. Please see our full return policy for details. If there is any problem with your order. Please contact us! We’re happy people and we like it when our customers are happy and satisfied, too! We leave feedback once or twice a month. Leave us a good feedback and we'll leave you good feedback in return. If you
Drytek Triode 384T 384 T Plasma Metal Etch Etcher System
This auction is for a Drytek Triode 384T Plasma Etch System. As you can see from the pictures. This unit is in extremely clean condition with minimal wear and mostly complete. I have not powered system up(lack the power) and it does appear to be missing at least a couple internal parts, sold as-is. System also comes with an assortment of original manuals(see last picture) Repair or use for its immense amount of rare spare parts! Feel free to contact me with any questions. Thanks for looking and happy bidding! Powered by The free listing tool. List your items fast and easy and manage your active items.
Matrix Model 303 Plasma Etch, Strip & DeScum System
This system is in Excellent condition and is being sold"As Is" as we are unable to test it.
Trion Oracle Plasma Etch Deposition with Turbo Pump (Varian Turbo-V 300)
Trion Oracle Plasma Etch Deposition with Turbo Pump(Varian Turbo-V 300) Varian Turbo-V 300 ICE MacroTorr Turbo Pumps Varian MoniTorr Transducer and Controller MKS Type 153 Control Valve Trion Gas Cabinets with Control Valves Trion Computer with controller cards If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 43734
Tegal Plasma 901e Etcher With Manual Plasma Etch System Wafer Semiconductor
Plasma 901e Tegal Etcher With Manual. SOLD AS SEEN IN PICTURES! Not tested. Cassette to Cassette Poly/Nitride Plasma Etcher*Easy to use menu driven control*Input gases controlled by MFC. Up to 4 MFC with system*Non-friction spatula wafer transport- Power requirement: 208 VAC 25A 1 PH- S/N: CU50134- Item# CU9000-50134-Volt: 200/208 VAC- Curr. 30 Amps- Freq. 50/60 Hz- Wires: 2+ ground- Wfg: 11/1999- m eter reads: 5081-RCTN Chamber item No. CC1106-01302 NOTE: We do not know the wafer size of the unit. If you know a way to tell. Please let us know. Specifics Below are off the internet: This is only to help. We do not know if this is exactly what we have. Please ask questions. Wafer Size Capacity: 3.4,5,6 inch Cassette To Cassette In-line Single Wafer Plasma Etcher.Capability to etch vias and contacts with anisotropic or sloped profiles.For etching ... moresilicon dioxide. Silicon nitrides, and polyimides.Can handle wafers from up to 6 in. Microprocessor control.208 V, 50/60 Hz. Tegal 901e series Plasma/RIE etch System are used by the Semiconductor Industry for integrated circuit fabrication. The system are used in one part of the sequence of manufacturing steps that transfer a pattern formed from a layer of photosensitive material, the photoresist, to a layer that makes up a permanent part of the final device. The process of defining a pattern with photoresist known as photolithography, while the etch process transfers the photoresist pattern to the permanent layer.Tegal 900 Series systems deliver highly reliable, repeatable results in etching a variety of films used to manufacture semiconductor, telecommunications and optoelectronics devices, flat panel displays and thin film magnetic heads.Tegal 901e,are designed around a production-proven wafer transport design that can accommodate 75 mm to 150 mm round silicon, GaAs, InP, and dielectric material substrates. The transport can also be configured to accommodate rectangular substrates up to 125 mm on a side. Typical Applications for Tegal 901e:1. Nitr
Gasonic 2000LL Plasma Etch Asher System
Description: Gasonic 2000LL Plasma Etch Asher System. It is missing some parts when I bought. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | ... moreLam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
TOK TSE-306W Plasma Machine for 4, 5, 6 inch wafer Etch
Description: TOK TSE-306W Plasma Etch Machine for 4. 5, 6 inch wafer processs. Removed from a shut down Fab of Misubishi Semiconductor America Inc. It include Quartz chamber. RF power supply 300W 13.56 MHz, AC power box, connecter cables. Good condition see pictures. Main machine is 36" X 54" X 70" about 1300LB. Plus RF power and AC box. Total Shipping weight is 2000 LB. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics ... moreAura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for furth