Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
350.00
Buy It Now
$80.00 Shipping
Condition: Used
Location: Temple, United States
Model Number: 300mm Wafer. Serial numbers or country of manufacture may vary.
$
75.00
Buy It Now
$10.00 Shipping
Condition: Used
Location: Caldwell, United States
Condition is Used. In addition to over 1million wafers ranging from 1”-12” of all variations.
$
125.00
Buy It Now
$10.00 Shipping
Condition: Used
Location: Caldwell, United States
$
34.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Compatible Wafer Size: 300mm. Wafer Slots: 25. Does Not Include Robotic Flange. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated ... morewith the manufacturer of this product. Original manufacturer's warranty does not apply.
$
24.97
Buy It Now
$33.44 Shipping
Condition: Used
Location: Leander, United States
(1) Shin-Etsu MW300G-A FOSB Polycarbonate Wafer Carrier. Compatible Wafer Size: 300mm. The wafer carrier is in good condition. Wafer Slots: 25. Material: ... morePolycarbonate. The door opens normally and securely shuts.
$
428.51
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: PR300Z 300mm Wafer Spin Cassette. Copper Exposed.
$
48.29
Buy It Now
$71.45 Shipping
Condition: Used
Location: Leander, United States
Compatible Wafer Size: 300mm. Wafer Slots: 25. Robotic Flange: MW300G-M. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated ... morewith the manufacturer of this product. Original manufacturer's warranty does not apply.
$
198.56
Buy It Now
$10.51 Shipping
Condition: Used
Location: Boise, United States
(See Hours of Operation, above). REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson at getsparesllc. Brooks/Equipe/PRI ... more(For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. ).
$
145.00
Buy It Now
$29.95 Shipping
Condition: Used
Location: Danville, United States
Batch of ten Polished (mirror finish) Silicon Wafers, 300 mm (12 inch) with wafer holder. Both sides polished to mirror, stock finish. Wafers packaged ... morewith 12" (300mm) wafer carrier. 
$
499.00
Buy It Now
$24.42 Shipping
Condition: For parts or not working
Location: Haverford, United States
Newport/Kensington Prealigner. This appears to be for 300mm wafers. This unit has precision linear and rotary motion, with encoders. The wafer holder ... morehas a vacuum pass-through. I believe it also has a laser and CCD detector to align the wafer.
$
44.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Manufacturer: Kakizaki. Wafer Size: 300mm, 12". Wafer Storage Container Front Opening Unified Pod. Material: Polycarbonate. Capacity: 25. CDN Systems ... moreLLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of this product.
$
280.00
Buy It Now
$42.71 Shipping
Condition: Used
Location: Hauppauge, United States
This auction is for used and untested Asyst EG-300B-009 300mm Wafer Aligner. Model: EG-300B-009 Mfg. Date: 09/2006 The unit has minor dents and scratches ... morebut over roll in good shape. Unable to verify functionality. Sell as is no return.
$
288.51
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: PR300Z 300mm Wafer Pincette. Copper Exposed. Installed Component. ... moreThese products are subject to export restrictions under U.S. law.
$
700.00
Buy It Now
$80.00 Shipping
Condition: Used
Location: Temple, United States
Model Number: 600120953.
$
150.00
Buy It Now
$82.45 Shipping
Condition: Used
Location: Portland, United States
With its bright yellow color, it's easy to spot and identify, making it a great addition to any workplace.
$
4000.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
44.99
Buy It Now
$36.38 Shipping
Condition: Seller refurbished
Location: Leander, United States
Compatible Wafer Size: 300mm. Wafer Slots: 25. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of ... morethis product. Original manufacturer's warranty does not apply.
$
55000.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
Cascade Microtech S300 semi-automatic and manual RF/Microwave Probing System. The S300 supports wafer sizes and shards from 0.5 in. (1 mm) all the way ... moreup to 12 in.
$
750.00
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: San Jose, United States
$
499.99
Buy It Now
$55.00 Shipping
Condition: Used
Location: Hayward, United States
This listing is for a set of 25 silicon wafers with a diameter of 12 inches (300mm) from AMAT Applied Materials. These wafers are suitable for use in ... moreindustries such as semiconductor and PCB manufacturing, CNC, metalworking, and other similar fields. The wafers are in great condition and come from a trusted source, making them a reliable choice for your manufacturing needs. They come in a custom bundle of 25 and are ready to be used in your next project.
$
1500.00
Buy It Now
$21.76 Shipping
Condition: Used
Location: Plano, United States
$
395.00
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: San Jose, United States
Parts are hand marked and Serial Numbers Recorded to prevent fraud.
$
14999.99
Buy It Now
Condition: Used
Location: Leander, United States
Model: 4000-5333-03 / IMS1200LM. Newport IMS1200LM. For 300mm Wafer. Manufacturer:Newport Electronics. Vacuum Chuck. Dock or forklift?. Pitch, Typical±125 ... moreµrad. Peak Motor Force210 N.
$
44.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Manufacturer: Kakizaki. Wafer Slots: 25. Wafer Size Compatibility: 300 mm. Twist Lock Opening. The carrier is in good, used condition with minor scuffs ... morefrom previous use. Door Type: Manual Open/Close.
$
1100.00
Buy It Now
$10.51 Shipping
Condition: New – Open box
Location: Boise, United States
Nicole Jackson. (See Hours of Operation, above). KLA-TENCOR 8100, 8200, 8300 CD SEM.
$
44.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Manufacturer: Kakizaki. Wafer Slots: 25. Wafer Size Compatibility: 300 mm. Door: Twist Lock, KTL-3004A-2. CDN Systems LLC, DBA Doug Deals, is not an authorized ... moredistributor or affiliated with the manufacturer of this product.
$
125.00
Buy It Now
$125.00 Shipping
Condition: Used
Location: Ireland
Model No: SB300. This Entegris SB300 is used surplus. The physical condition is good, From 300mm FAB in Ireland.
$
850.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Entegris F300-1109-04016H F300 Foup AutoPod Wafer Carrier 300mm is used untested surplus and is being sold as-is. The physical condition is fair, ... morebut there are signs of previous use and handling.
$
225.00
Buy It Now
$24.13 Shipping
Condition: New – Open box
Location: Richmond, United States
LOT OF 5 INFINEON TECHNOLOGIES 300MM MANUFACTURED SILICON WAFERS 2005 UNUSED.
$
2500.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Item Condition: Used.
$
995.00
Buy It Now
Free Shipping
Condition: Used
Location: Killeen, United States
This was removed from a Centura Front Loader. Applied Materials Wafer Holder Assy. Can be used for 200MM or 300MM Wafers by re adjusting centering holes. ... moreCurrently set up for 200MM operation.
$
24.99
Buy It Now
$31.47 Shipping
Condition: Used
Location: Gilbert, United States
This wafer carrier is in great condition. The white plastic rails are removable making it easy to clean. It was a bit Dusty inside and out when I received ... moreit and I did take the time to remove dust with a damp cloth. The size of the wafers it can carry is nearly 12", you could almost fit a vinyl record in there, but theres then it wouldn't close. Ship same day
$
1200.62
Buy It Now
$52.96 Shipping
Condition: Used
Location: Buda, United States
(See Hours of Operation, above).
$
549.56
Buy It Now
$9.88 Shipping
Condition: Used
Location: Boise, United States
(See Hours of Operation, above). REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson at getsparesllc. Brooks/Equipe/PRI ... more(For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. ).
$
29.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Wafer Size Compatibility: 300 mm. Wafer Capacity: 25. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer ... moreof this product. Original manufacturer's warranty does not apply.
$
50.00
Buy It Now
$20.00 Shipping
Condition: Used
Location: Grand Prairie, United States
You are Buying a Kakizaki KTB-30002B 300mm. Wafer Carrier. Sold As Is. Kakizaki 300mm. If you don’t see it you probably won’t gel it.
$
49.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Manufacturer: Kakizaki. Wafer Slots: 25. Wafer Size Compatibility: 300 mm. The top opens as intened and secures properly to the case. CDN Systems LLC, ... moreDBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of this product.
$
1750.00
Buy It Now
Free Shipping
Condition: Used
Location: Seattle, United States
Typically the MASCOT Loadlock would be mounted to a gate valve attached to a main system chamber. After the loadlock is evacuated to the desired vacuum ... morelevel, and the gate valve opened, the substrate is transferred into the process chamber by gliding the magnet carriage along the outer tube.
$
550.56
Buy It Now
$9.88 Shipping
Condition: Used
Location: Boise, United States
REQUIRED BY US LAW. We do repairs. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. (See Hours of Operation, above). Zhòngyào de! Rúguǒ méiyǒu ... morezhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù.
$
1900.00
Buy It Now
$50.94 Shipping
Condition: Used
Location: Boise, United States
Brooks/Equipe/PRI (For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. REQUIRED BY US LAW. (See Hours of Operation, ... moreabove). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù. ).
$
344.29
Buy It Now
Condition: Used
Location: Leander, United States
Compatible Wafer Size: 300mm. Wafer Slots: 25. Dock or forklift?. Residential area?. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor ... moreor affiliated with the manufacturer of this product.
$
39.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Compatible Wafer Size: 300mm. Wafer Slots: 25. Robotic Flange: MW300G-M. Material: Polycarbonate. CDN Systems LLC, DBA Doug Deals, is not an authorized ... moredistributor or affiliated with the manufacturer of this product.
$
39.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
The side handles of the unit are missing. The carrier opens and closes with no obstruction. Individual Parts Center Retaining Feature: MW 300G-L (Polycarbonate). ... moreBody: MW 300GT-A (Polycarbonate). CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of this product.
$
895.00
Buy It Now
$45.00 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
We have many parts we have not had a chance to list yet.
$
3504.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: PR300Z Robot Assembly. Copper Exposed. Serial numbers or country ... moreof manufacture may vary. These products are subject to export restrictions under U.S. law.
$
4499.99
Buy It Now
$139.22 Shipping
Condition: New – Open box
Location: Phoenix, United States
29 pt RTD Sensors. Connection: D-type, high density, sub-miniature with 64 pins. (2 connectors for 18-34 sensors per wafer.). Wafer Size: 300 mm. Accessories ... morewith the Process Probe Lead Materials: Polyimide coated copper.
$
44.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Wafer Case. Top Robotic Flange. Body Material: Polycarbonate. Lab & Test. 25 Capacity (12" Wafers). There may be minor scuffs and scrapes due to previous ... moreuse. Se habla espanol! CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of this product.
$
407.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Rigaku 6960-9802 is used working surplus. The physical condition is good, but there are signs of previous use and handling. These products are subject ... moreto export restrictions under U.S. law. INTERNATIONAL EXPORT POLICY.
$
48.29
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Entegris SB300 Full Pitch Front Opening Wafer Carrier 25 Cap. w/Robotic Flange. (1) Entegris SB300 Full Pitch Front Opening Wafer Carrier 25 Cap. Model: ... moreSB300. Manufacturer: Entegris. Wafer Size Compatibility: 300 mm.
$
3980.82
Buy It Now
$44.80 Shipping
Condition: New – Open box
Location: Buda, United States
Brooks/Equipe/PRI (For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. Five-one-two-nine-two-eight-five-five-five-three ... moreCorporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. ).
$
39.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Model: MW300GT. FIMS Door/Automated: Front Opening. The unit may have stickers on the outer surface of the wafer carrier. Vent Filter: MW 300GT-CS-N (Polyethylene). ... moreDoor Set: MW 300GT-CS2 (Polycarbonate/PBT/POM).
$
399.90
Buy It Now
Free Shipping
Condition: New – Open box
Location: 구로구, South Korea
This item is surplus one, not used item. If You Want. Model Number : 15-349429-00 REV.A. Condition : New Other. /span>s arrival, and it has to be in the ... moreoriginal condition as it was sent out.
$
89.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
(1) Shin-Etsu WM300-F-A Purity Shuttle FOSB Wafer Case. Wafer Slots: 25. Notes:The plastic lid hinges lock the lid securely. Lab & Test. Door Type: Manual ... moreOpen/Close. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of this product.
$
802.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi Ceramic End Effectors 1-5 300mm Wafer Complete Set of 5 M-712E is used working surplus. The physical condition is good, but there are signs ... moreof previous use and handling. Part No: Ceramic End Effectors 1-5.
$
108.29
Buy It Now
Condition: For parts or not working
Location: Leander, United States
Condition:For Parts or Not Working. Compatible Wafer Size: 300mm. Wafer Slots: 25. Dock or forklift?. Residential area?. CDN Systems LLC, DBA Doug Deals, ... moreis not an authorized distributor or affiliated with the manufacturer of this product.
$
71.29
Buy It Now
$181.62 Shipping
Condition: Used
Location: Leander, United States
Manufacturer: Kakizaki. Wafer Size: 300mm. Material: Clear Polycarbonate. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated ... morewith the manufacturer of this product. Original manufacturer's warranty does not apply.
$
619.99
Buy It Now
Free Shipping
Condition: Used
Location: Rancho Cordova, United States
New open box, unit is unused. On hand and ready to ship!.
$
2999.00
Buy It Now
$87.82 Shipping
Condition: Used
Location: Buda, United States
Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. REQUIRED BY US LAW. (See Hours of Operation, above). Nicole Jackson at getsparesllc. Zhòngyào ... morede! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù.
$
1000.00
Buy It Now
$350.00 Shipping
Condition: Used
Location: Ireland
Keyence 300mm 25 Wafer Batch Hand Carrier Cassette F3129-C063 MS893. 25 Wafer Batch Hand Carriers transfer to /from cassette.
$
1249.03
Buy It Now
$16.26 Shipping
Condition: New – Open box
Location: Buda, United States
REQUIRED BY US LAW. We do repairs. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān ... morekùn zhù. Měiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
1 2 3 4 5 6 7
 In 

Former Listings  
 
Danaher Precision, Z Stage, Neat 330UP Motion Controller, 300mm Wafer Chuck
Used In Excellent Condition: Danaher Precision Systems. Z Stage With Neat 330UP Programmable Motion Controller, With 300mm Wafer Chuck, Cables and Kaman SMU-900015N Kaman Precision Position Sensor
Genmark RP040104 Remote Vacuum Wafer Pre-Aligner Robot 50-300mm 3” SunX DP2-20
Search our eBay Store! Genmark RP040104 Remote Vacuum Wafer Pre-Aligner Robot 50-300mm 3” SunX DP2-20 SKU: JV-JIM-B-RP040104 Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Genmark RP040104 Remote Pre-Aligner 50-300mm 3” w/SunX DP2-20 Vacuum Sensor Model: RP040104 Physical Condition: Some scuffs and scratches. Functionality/Degree of Testing: We do not have the necessary resources to test this unit. Dimensions(L" x W" x H" 26 5/8* 12 5/16* 3 11/16 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. ... moreMILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please co
Lot of 4 Wafer Cassettes/Carrier Handler 300mm 25 Slot
SEARCH OUR PRODUCTS Lot of 4 Wafer Cassettes/Carrier Handler 300mm 25 Slot Product Description You are bidding on a used Lot of 4 Wafer Cassettes. Cosmetically they are in good condition but we have no way of testing it. Three of the cassettes have 25 slots and the other has 15. These cassettes are for 300mm wafers. We do not know the brand as they have no markings. The photos are of the actual items the winning bidder will receive. Only what is pictured is included. SKU: K06E021 Warranty Information The item/s in this auction are being sold AS-IS with no warranty. No refunds, no returns. Please bid accordingly. Contact Info Business Hours- toll free: 877) 328-9236- local: 512) 933-0081- fax: 512)873-0745 Monday to Friday from 8:30 am to 5:30 pm CST Closed on Saturday and Sunday Company Policies PayPal Must ship to a confirmed address, Visa/MasterCard/Discover/Amex ... moreUS and Canadian issued cards only. Must ship to the billing address of the credit card. Orders over $1.000 require a signed. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. We do not allow the buyer to arrange their own shipping. Shipment must be arranged through us and will be prepaid to us by the buyer. The buyer is responsible for any customs. Duties, taxes, broker fees, etc that are incurred. We do not know what these fees are and have no way to calculate them. You will need to speak to your local customs office if you have questions about these fees. All UPS Standard to Canada shipments incur UPS brokerage fees. Some items shipped UPS Expedited/Express receive free brokerage services. We strongly recommend the buyer contact UPS to find what the fees are prior to purchase. We will not mark items as gifts. We mark the item price as the declared value. The item/s in this listing are being sold AS-IS(NO warranty) There are no returns. Refu
Asyst EG-300B-009 300mm Wafer Processing Robot Aligner Prealigner 24VDC/3A
Search our eBay Store! Asyst EG-300B-009 300mm Wafer Processing Robot Aligner Prealigner 24VDC/3A SKU: HS-TER-C-EG300B009 Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge New Page 1 Asyst EG-300B-009 300mm Wafer Aligner Model: EG-300B-009 Mfg. Date: 12-16-2004 24VDC/3A Physical Condition: Excellent. Theres minor scratches on the bottom of the unit. Functionality/Degree of Testing: Pulled from working environment. Dimensions(L" x W" x H" 14 x 10 1/2 x 9 1/4 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. ... moreINC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, p
Used & Refurbished Brooks AM-3000 300mm FOUP to 200mm Wafer Cassette Adapter
Fixeon Refurbished- Brooks AM-3000 300mm FOUP to 200mm Wafer Adapter Shown is a picture of a AM-3000 Wafer Adapter. Brooks’ AM-3000 wafer adapter allows 200mm wafers to be processed inside a 300mm FOUP(not included) Slide the unit in and start reducing your wafer costs. Remove the adapter at any time to return to 300mm capability. Does not include a 300mm FOUP. Contact us at www.fixeon.com for your entire wafer shipping needs. Fixeon. Located in Austin, TX serves the semiconductor industry globally with our diverse variety of wafer shippers and related wafer shipping consumables, offering a total solution to protect silicon wafers during processing and transportation. Unique Products | Maximum Performance | Superior Value Fixeon’s customer focused attitude is committed to exceeding your expectations. Fixeon 2227 W. Braker Lane Austin. Texas ... more78758 512 918-1732 x101*IMPORTANT-PLEASE READ BELOW BEFORE BIDDING* Buyer is responsible for actual shipping costs. Shipping costs stated here may not reflect actual shipping costs. But may be used as a guideline. Please call for exact shipping costs. A charge of $15 per box plus actual shipping per specified carrier or charged to your account. INTERNATIONAL BUYERS: World Wide Shipping available. Buyer will pay above handling plus the actual shipping cost. PLEASE CALL AHEAD FOR ACTUAL SHIPPING COSTS! Items come 1 per box.
NIKON OPTISTATION VII (7) 300mm WAFER INSPECTION UNIT
Machine was regular cleaned and maitained to the Highest point. They have been professionally Crated ready for shipping, These were pulled from a working environment selling as is. The High End mucroscope is also INCLUDED. Nikon's most advanced 300-millimeter integrated circuit(IC) manufacturing inspection workstations. The Optistation-7 Wafer Inspection Systems. Multiple systems will be employed in a 300mm integrated circuit wafer fabrication facility in the U.S. And are being delivered over a period of 6 months. Nikon's Optistation-7 allows chipmakers to repeatedly inspect and review the devices being manufactured at various stages in the production process for quality control purposes. The ability to manufacture product on 300-millimeter wafers is a recent development in the industry. The new Optistation-7 system is the cleanest. ... moreFastest, most accurate and most reliable automated way of optically reviewing chips that are printed onto 300-millimeter wafer substrates. Built to accept the latest technology in wafer transport and incorporating its own integrated ULPA filtration system, the Optistation 7 easily exceeds new fab automation requirements. Nikon semiconductor inspection stations are designed to provide ultra-high precision and throughput, with exceptional accuracy and ease of use. Auction is for state of the art 300mm Wafer NIKON OPTISTATION VII. Bought this from One of the Best Technology companies in the world, i saw semilar item used just like mine at different Auction site going for $210,000. New one will will cost you around $1million dollars. Here is a great. Great opportunity to grab these at fantastic PRICE The Optistation 7 is in 6 Crates( This is Just for one OPTISTATION 7) 1) 86 x 66 x 96(inches) weight 3350 lbs(2) 34 x 30 x 68(inches) weight 300 lbs(3) 32 x 60 x 40(inches) weight 350 lbs 4) 60 x 50 x 32(inches) weight 520 lbs(5) 60 x 56 x 54(inches) weight 500 lbs(6) 34 x 30 x 68(inches) weight 300 lbs This What You will get(1) 2 Foup Front End Load Ports Asyst ISO port FL
Brooks MagnaTran7 300mm WAFER TRANSPORT ROBOT ARM 002-0016-34 MAG7 ARM
Brooks MagnaTran7 300mm WAFER TRANSPORT ROBOT ARM PN: 002-0016-34 TESTED WORKING CONDITION Information Condittion used a+++++++++. This unit is fully tested prior to shipping Shipment We will ship your order within 2-3 business days via post airmail. It will usually take 10-14 business days after shipment. Return Policy We carefully inspect the item before shipment. In case you found a defect on the purchase. We will exchange or refund it Contact Us We are willing to respond to your inquiry If you have a question or problem.Please contact us first. It is the fastest way to settle your concern or issue.
Brooks MagnaTran7 300mm WAFER TRANSPORT ROBOT MAG7 ROBOT 003-1600-32
Brooks MagnaTran7 WAFER TRANSPORT ROBOT P/N: 003-1600-32 TESTED WORKING CONDITION Information Condittion used a+++++++++. This unit is fully tested prior to shipping Shipment We will ship your order within 2-3 business days via post airmail. It will usually take 10-14 business days after shipment. Return Policy We carefully inspect the item before shipment. In case you found a defect on the purchase. We will exchange or refund it Contact Us We are willing to respond to your inquiry If you have a question or problem.Please contact us first. It is the fastest way to settle your concern or issue.
Empak 300mm Wafer Handler Carrier FOUP HS300 XS300
SEARCH OUR PRODUCTS Empak 300mm Wafer Handler Carrier FOUP HS300 XS300 Product Description This is a used Empak 300mm Wafer Handler Carrier. This item is in good overall physical condition. It has some minor scratches along with some sticker residue. The photos are of a typical item. Only what is pictured is included. Description: 300mm CrystalPak Entegris/ HS300 lid and base with a XS300 Cassette SKU: J37E007 Warranty Information The item/s in this auction are being sold AS-IS with no warranty. No refunds, no returns. Please bid accordingly. Contact Info Business Hours- toll free: 877) 328-9236- local: 512) 933-0081- fax: 512)873-0745 Monday to Friday from 8:30 am to 5:30 pm CST Closed on Saturday and Sunday Company Policies PayPal Must ship to a confirmed address, Visa/MasterCard/Discover/Amex US and Canadian issued cards only. Must ship ... moreto the billing address of the credit card. Orders over $1.000 require a signed. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. We do not allow the buyer to arrange their own shipping. Shipment must be arranged through us and will be prepaid to us by the buyer. The buyer is responsible for any customs. Duties, taxes, broker fees, etc that are incurred. We do not know what these fees are and have no way to calculate them. You will need to speak to your local customs office if you have questions about these fees. All UPS Standard to Canada shipments incur UPS brokerage fees. Some items shipped UPS Expedited/Express receive free brokerage services. We strongly recommend the buyer contact UPS to find what the fees are prior to purchase. We will not mark items as gifts. We mark the item price as the declared value. The item/s in this listing are being sold AS-IS(NO warranty) There are no returns. Refunds, or exchanges. If you are not comfortable buying something withou
Sumco 300mm Silicon Test Wafer 25ct. Boat with Oxide
This Sumco 300mm Silicon Test Wafer is good. But there are oxides on the surfaces, thickness and resistivity are unknown. Part No: 300mm Silicon Test Wafer 300mm 12" wafer 25 wafers per boat Made in Japan Condition: Untested. Used Estimated Packed Shipping Dimensions: L x W x H = 18"x 18"x 18" 22lbs Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 13 Can't find the answer ... moreyou're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may vary based on the packing requirements. So
Used 300MM Wafer Positioning Station
Wafer Positioning Station Wafer Positioning Station Description: Used 300MM Wafer Positioning Station Uses a PK545-NAC Vexta stepping motor Note: Item has been used-Item has signs of use-Selling item as pictured. And only what is pictured Warranty: 30 Days SHIPPING Flat rate to continental U.S. We Ship USPS and UPS(MON-FRI) Daily pickups Based on your items size/weight/destination/etc. $25 Including Tracking Number Shipments outside continental U.S. are shipped USPS Additional shipping charges DO APPLY! Please email for shipping quote NOTE: THERE IS NO SUCH THING AS CHEAP INTERNATIONAL SHIPPING. U.S.P.S AND UPS HAVE BOTH CHANGED THEIR RATES. AND MINIMIZED THEIR SHIPPING OPTIONS. AT CLOSE OF AUCTION Ending Auction amount +Shipping =Total Email for additional payment instructions Payment options PAYPAL from Verified paypal accounts with confirmed ... moreshipping addresses. WE SHIP ONLY to CONFIRMED SHIPPING ADDRESSES. Out of U.S. Payment options PAYPAL Payments shipping to a CONFIRMED shipping address(different then verified) Paypal can not confirm shipping addresses in all countrys so if you cannot provide a confirmed shipping address. Your Paypal payment may be refunded and you will be asked to pay using another method. Shipping We ship all of our items out within 2 business days of confirmed payment. Paypal USERS: WE SHIP ONLY to CONFIRMED SHIPPING ADDRESSES. Shipping to PO Boxes Go USPS Standard 8-10 estimated transit time. Priority shipping costs may be additional. Please email for quotes. Outside U.S. Shipping Additional shipping charges do apply. Please email for shipment quote. All out of U.S. shipments ship USPS. Shipping Policy We report Actual sold price on USPS forms. Buyer is responsible for any/all Custom Fees. Warranty We do not offer any warrantys on our items unless otherwise noted in description. We do not accept any As-is products for return. DOA/30 day Warranty DOA(Dead on arrival) Items MUST be reported DOA from 7 days after receipt. 30 day warranty Items MUST be reported Defective from 30 days
Wafer Robot Prealigner Asyst EG-300B-009 300mm Aligner BROOKS AMAT Controller
This auction is for used and untested Asyst EG-300B-009 300mm Wafer Aligner. Model: EG-300B-009 Mfg. Date: 09/2006 The unit has minor dents and scratches but over roll in good shape. Unable to verify functionality. Sell as is no return.
AMAT Kensington 300mm Wafer Robot 94-1151 Multi-Link Dual Arm & Cable / Warranty
Payment Policy Brand New Sony CyberShot 8 MP Di AMAT Kensington 300mm Wafer Robot 94-1151 Multi-Link Dual Arm&Cable/ Warranty Attention All Buyers If there is anything wrong with the item that you have purchased. Please do not leave negative feedback and do not open any disputes with eBay or PayPal. Just contact us directly and we will fix the problem quickly. Item Description AMAT Kensington 300mm Wafer Robot 94-1151 Multi-Link Dual Arm&Cable/ Warranty Used Clean Condition AMAT Applied Materials Kensington 300mm Dual Arm Atmospheric Wafer Handling Robot. Multi-Link, with Cables Kensington 94-1151 Dual Arm Multi-Link Robot With AMAT Cables Part No. 015-13079. 03-024337-00, and Patch Box 02-032284-00 More info on Product Kensington Laboratories’ 300mm dual arm atmospheric wafer handling robot meets the industry’s most rigorous 300mm ... moreperformance standards. The MultiLink dual arm robot achieves extremely high throughput in a small footprint. Providing a significant cost of ownership advantage. Kensington Laboratories, a leader in advanced robotics technology, is committed to developing and manufacturing the highest quality and most cost effective atmospheric robots in the industry– all backed by Kensington Laboratories’ global service and support network. High Throughput: Kensington’s proprietary vacuum counter-balancing system eliminates robot settling time in the Z axis. This. In combination with a rapid swap time of less than 3 seconds, significantly increases throughput. In four pod systems, greater than 360 wafers per hour throughput are achieved. Low CoO: A minimal swept volume of less than 21 inches allows the dual arm robot to provide wafer handling operations within a very compact design. Reducing overall system footprint Accurate Wafer Placement: High performance design provides precise motion control and eliminates structural deflection and creep. All robot axes are guided by preloaded bearings mounted on monolithic frames machined from single ingots of highly stable aircraft-quality aluminum. Hig
PURE WAFER 300MM Wafer Carrier w/ 3 300mm Wafers (M85:0)
300MM Wafer Carrier w/ 3 Wafers(M85:0)
2 Microtool Wafer 300mm to 150mm & 300mm to 200mm adapters AM-3002 & AM-3002150
2 Microtool Wafer 300mm to 150mm and 300mm to 200mm adapters AM​-3002& AM-3002150 1 lot of 2 Microtool Adapters Used Buy Them Now: $90 for both Best offers considered Packed Size: 12x12x6 Packed Weight: 12lbs Sorry. No International Shipping on this item Our Lot# 3158 WE USUALLY SHIP(95% OF MY LISTINGS SAME DAY IF PAID FOR BY 12:00 P.M. PDT. PLEASE EMAIL ME OR CALL 818-384-1158 TO VERIFY I SEE YOUR PAYMENT OR IF YOU NEED YOUR ITEM SHIPPED SAME DAY. PLEASE SEE MY OTHER LISTINGS FOR MORE GREAT VALUES! WE WILL COMBINE SHIPPING IF POSSIBLE. WE WILL SHIP WORLDWIDE(Except when noted above) THANK YOU! Please check out the photos in the listing so you can see the item you will be receiving. Please email us from the"Ask A Question" link at the bottom of this page with any questions. Your satisfaction is important to us. If for any reason ... moreyou are not happy with the item. Or our service, please contact us with the problem. So before leaving a negative, neutral, or even unflattering positive feedback, please simply contact us. We will do whatever is necessary to resolve the situation. SHIPPING INFO: This item weighs about 12 lbs packed in a 12 X 12 X 6 Inch Box. The amount quoted for shipping is directly from the USPS/FedEx based on distance package will travel and weight. If the rate provided seems incorrect. Please contact us and we will be happy to verify with chosen carrier. Shipping is calculated using the USPS calculator for USA orders. For international(if available) A $30 paperwork fee applies and the bidder must provide a FedEx, UPS or DHL account number for any and all shipments, export/import and or custom fees- please contact us for exact shipping cost. Typically we ship out items same day for payments received before 12pm pacific time. Items being shipped within CA will include sales tax.
Entegris Model SB300 Full Pitch FOSB 300mm Silicon Wafer Transport Cassettes
Entegris Model SB300 Full Pitch FOSB 300mm Silicon Wafer Transport Cassettes 50-100 Each Available. Please Specify Quantity Required These are two piece wafer transport cassettes and shippers with removable inner cassettes: One piece is transport cassette. Second is cover Excellent Condition. Appear brand new 25 slot Teflon Insert can be removed to transport other items or equipment safely and securely Secure locking mechanism on top two sides. See close up of mechanisms for secure wafer transport and travel; While cleaning is certainly not necessary. If you want them cleaned and bagged, just let us know and we can provide a quote based on the quantity you require. Check Out: Purchase: Making purchases is easy and simple. To place an order for procurement. Please click the“Buy It Now” button. Follow to the next page for payment instructions. ... morePayment: Click the“Pay Now” button at the top of the E-Bay page to proceed with the checkout and payment process. Payment must be made within 5 days of purchase. Accepted Forms: We accept PayPal. Or you may pay on your credit card via PayPal. When paying via PayPal. Payments must include your shipping address and should be submitted via the E-bay payment process. Sales Tax: For California customers. Sales tax is added and depends upon your location. If you are tax exempt. Please provide a copy of your Tax Exempt Certificate prior to check out. Please do not send payment before receiving a revised invoice. Shipping: Shipping rates are the responsibility of the customer and are not refundable. Rates include handling. Packaging materials, label scanning and tracking information. Shipping rates are based upon the weight and dimensions of the package and your location. If we have packaging materials for smaller items. We include packaging and handling at no charge. If they are unavailable, we charge our cost. For larger capital equipment, please contact us for crating costs and exact weight prior to shipment as the crate will add weight to the tool set. Insurance: Should
Kensington Newport 300mm Wafer Robot Arm 15-3702-1425-26 AMAT 0190-22250CW
Questions? Call us: 1-877-328-9236. Kensington Newport 300mm Wafer Robot Arm 15-3702-1425-26 AMAT 0190-22250CW. Manufacturer: Newport Model: 15-3702-1425-26 Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Newport Condition: Used. This unit came to us. Wrapped up and crated as shown, with a Certificate of Compliance from the manufacturer. Cosmetic condition is Excellent. I t has slightly torn wrapping and there are labels indicating it was exposed to a copper process line. Notes: We have not unwrapped or tested this robot. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Newport 15-3702 Multilink Robot in Crate, Specifications: Manufacturer: Newport, Newport P/N: 15-3702-1425-26 Applied Materials PN: 0190-22250CW Multilink Wafer Transfer Robot with ... more300mm edge-gripping end effector, Repeatability: Radial ±0.001" ±0.025 mm) Theta ±0.01º; Z axis ±0.001" ±0.025 mm) Maximum Velocity: Radial 34 in.sec(0.86m/sec) Theta 1000º/sec; Z 18 in.sec(0.46 m/sec) Maximum Acceleration: Radial 400 in.sec 2(10.16m/sec 2) Theta 5000º/sec 2; Z 80 in.sec 2(2.03m/sec 2) Reliability: MCBF 10 million cycles Cleanliness: ISO Class 1 High Throughput: Continuous rotation(no dead-zones) means short. Discrete theta moves Accurate Wafer Placement: Precise motion control. Negligible deflection and creep. All axes ride preloaded bearings on frames machined from single ingots aluminum. High Reliability: Industry-leading MCBF with direct-drive DC servo motors. Real time force feedback, and non-contacting glass scale encoders Zero Preventative Maintenance: Superior precision design requires no periodic lubrication or adjustments under standard operating conditions. SKU: N51D010 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and O
Pallet of 300mm wafer cassettes/carriers (entire lot)
Full pallet of 300mm wafer cassette/carriers. Only used to hold the 300mm silicon substrates that were to be cut for square wafers. The left over silicon is still inside of the cassettes. So 300mm wafers missing a square in the middle; some are broken. There are 47 cassettes total. All are in good usable condition.
RECIF SA SPP300A 300mm Wafer Sorter
RECIF SA SPP300A 300mm Wafer Sorter RECIF SPP300A 300mm Wafer Sorter This RECIF SPP300A 300mm Wafer Sorter was removed in a facility closure. Is untested, is in excellent physical condition and is being sold on an AS IS basis. Inquiries Welcome! Estimated Weight: 1075 lbs. This auction will have an additional charge of $50.00 for preparation of common carrier shipment(buyer arranges for shipments and calls for quotes) This item cannot be shipped UPS due to it's large size/shape and must be put on a skid for safe travel. You can contact Keif Hampton who is a representative for FREIGHTQUOTE.COM(General Freight) Her phone number is 800-323-5441 X 6188(You may get his voice mail, please leave a message. or Pat Toma who is a representative for SEKO Worldwide(Expedited Ground) his phone number is 800-762-5092. They will need to know information ... moreabout your receiving area. They know we have a loading dock. If you get his voice mail, leave a message as he is very good about returning calls. ESSSURPLUS is proud to have our 14.000+ repeat customer feedback for you to review! Thank you for looking. And Good Luck if you're bidding! Important: Auction winners have 7 days to complete the purchase of item(s) won. Feel free to contact our office for assistance in the completion of your transaction if you are having difficulty. The auction item will be relisted or sold after the 7 day window. Thank you for your assistance. Please Note: We DO NOT ship outside of the United States. However, Non-US buyers may have or find a US shipping address. Condition: Used andale andalesell. Click Images to Enlarge Payment Options: PayPal Shipping Options: Domestic shipping: See item description for Shipping Charges. Domestic Carriers are: Shipping Carrier Domestic I will ship to: Electronic Surplus Services a pretty image. with Vendio Research. Vendio provides powerful selling automation for sellers of all sizes. Click Here. Double your traffic. Get Vendio Gallery- Now FREE!
Asyst SMIF-Pod: 300mm;12" Silicon Wafer Carrier/Loader
Click on Picture to Enlarge, Asyst SMIF-Pod: 300mm;12" Silicon Wafer Carrier/Loader This is another fine Gizmo from SurplusGizmos located in Hillsboro Oregon. Asyst SMIF-Pod: 300mm;12" Silicon Wafer Carrier/Loader Item Description and Features: Click on pictures to the left to view larger images. The Asyst SMIF-Pod 300 is a 25-wafer-capacity front opening unified pod(FOUP) see link provided below] Please see pictures for more details. Please look over the photos carefully and do not assume anything about the item(s) included in this listing. This item is sold as you see in the pictures. Unless otherwise stated. If it is not pictured, it is likely not included. We are not experts and do not claim to be with any of the items we sell. We do the best research we can in order to properly represent the item(s) as clearly and honestly ... moreas possible. We strongly encourage buyers to do their own research beforehand. If you have any questions, please ask before you make your purchase and we will do our best to answer your questions to the best of our abilities. Item Condition: Used. See pictures for more details. Item is sold"as is" No guarantee Testing Done: No testing done You will Receive: 1)Asyst SMIF-Pod: 300mm;12" Silicon Wafer Carrier/Loader Item Weight: Shipping Weight is approximately 15 pounds. If purchasing multiple items. We will send you an updated invoice with combined shipping when possible. You can also reach us by visiting our retail store directly or via phone during normal business hours. SurplusGizmos is located at: 5797 NW Cornelius Pass Road. Hillsboro Oregon, 97124 Retail hours are Tue- Fri 10am- 6pm. Sat 10am- 5pm Store phone is 503-439-1249 Feel free to stop by to examine items during regular hours. Shipping methods are as follows: Local pickup is abvailable but please call so we can have the item ready. Items are generally shipped in 2 to 3 business days(Tuesday thru Friday) after payment has cleared except for large objects that may take more time for packaging. Internation
Newport 15-3701-1425-26 300mm Wafer Transfer Robot AMAT 0190-19123 Used Working
This Newport 15-3701-1425-26 is used working surplus. The physical condition is good. But there are scratches from previous use and handling. Part No: 15-3701-1425-26 AMAT No: 0190-19123 Wafer Size: 300mm Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 26"x26"x40" 120 lbs. Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) ... moreLister 14 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may vary
Newport 15-3702-1425-26 300mm Wafer Transfer Robot AMAT 0190-22250 Used Working
This Newport 15-3702-1425-26 is used working surplus. The physical condition is good. But there are scratches from previous use and handling. Part No: 15-3702-1425-26 AMAT No: 0190-22250 Wafer Size: 300mm Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 26"x26"x40" 120 lbs. Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) ... moreLister 14 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may vary
Brooks Automation Fixload 6M 300mm Wafer Load Port Transfer Handling PARTS #2
Search our eBay Store! Brooks Automation Fixload 6M 300mm Wafer Load Port Transfer Handling PARTS#2 SKU: JV-DAN-C-FIX6PARTS2 Condition: For parts or not working Packaging: Pallet/Skid Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are only included if pictured or listed in description. If in doubt, please contact us before purchasing. Click an Image to Enlarge Brooks Automation Fixload 6M 300mm Wafer Load Port Transfer Handling Unit AS-IS FOR PARTS OR REPAIR. Model: 6M. Type: Load Port. Physical Condition: Unit appears to be in non-working physical condition. Cosmetic scuffs/scratches on unit’s body. We do not have the necessary resources to test this unit. Dimensions(L" x W" x H" 52 x 25 x 18 ½ BEING SOLD AS-IS FOR PARTS ... moreOR REPAIR. Manufacturer's Description(for informational purposes only) Brooks-PRI's FIXLOAD 6 M next-generation load port incorporates the expertise gained from a worldwide installed base of nearly 6.000 FIXLOADs, and builds upon this success using a continuous-improvement design philosophy. This effort has produced a load port that provides maximum reliability, the industry's fastest wafer-access time, ultra-clean operation, optimized load port-to-FOUP interoperability and all the features and options required by end users. The FIXLOAD 6 M is fully compliant to relevant SEMI standards and is completely backward compatible. All parts. Including accessories and cables are only in cluded if pictured or listed in the description. All pictures are taken in house. If you require more information. Please contact the manufacturer and/or research online. As a large liquidator, we do not carry additional information beyond what has been provided to us above. This FDA DISCLAIMER is for MEDICAL DEVICES only and not for all our products: The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so.
Asyst Hine Design align optical wafer aligner 05050-015 300mm manuals software
You are bidding on an Asyst/Hine Align wafer aligner. Model# 05050-015. Optical wafer aligner 300MM wafer 120VAC 24VDC Power cable Users manual Software manual with software V2.1B on 3 1/2" disk This item was removed from working service. We have no way to test this item. All our ebay auction items are available for viewing at our wholesale outlet. Excess Solutions 156 S. Milpitas Blvd. Milpitas CA 95035 Please see our website www excesssolutions com for hours of operation. Terms and fine print. Shipping Buyer pays Shipping. Handling, and Packaging. We ship via UPS ONLY. We DO NOT ship via United States postal service. We do not ship to PO boxes. APO/FPO. For international shipping please contact us for a quote. We will always try to combine shipments. Please contact us after your purchase. Payment We accept Paypal. Credit cards Visa-M/C-Discover. ... moreWe also accept all other standard forms of payment that ebay has deemed not real. We accept credit cards Visa-M/C. We also accept all other standard forms of payment that ebay has deemed not real. We do not ship until money has cleared our bank. International orders must be bank to bank wire transfer or credit card. All California residents must add 8.25% sales tax. Or provide a signed CA resale certificate. Auction Policy Winning bidders must acknowledge within 3 business days after auction close. Payment must be received within 7 days after order is confirmed. Non paying bidder will be filed after 10 days of no contact. We reserve the right to cancel bids of any users with negative feedback or bid retractions. We do our best to accurately describe the item for sale. However we are not responsible for typographical errors. Some of our items advertised may be used and have scratches etc. from normal wear. Any additional imperfections will be listed in the description. Orders will be shipped within 3 business days upon confirmation of payment. All auction sales are final. No refunds are available. We can only issue an exchange for the following reasons: Incorrect Qu
Asyst wafer Aligner EG-300 prealigner EG300 robot brooks crossing 300mm manual
You are bidding on an Asyst Aligner. Model# EG-300 24VDC 3 amp 300mm wafer 73930003-02 This item was removed from working service. We have no way to test this item. It is bolted inside original plastic packaging. Includes All our ebay auction items are available for viewing at our wholesale outlet. Excess Solutions 156 S. Milpitas Blvd. Milpitas CA 95035 Please see our website www excesssolutions com for hours of operation. Terms and fine print. Shipping Buyer pays Shipping. Handling, and Packaging. We ship via UPS ONLY. We DO NOT ship via United States postal service. We do not ship to PO boxes. APO/FPO. For international shipping please contact us for a quote. We will always try to combine shipments. Please contact us after your purchase. Payment We accept Paypal. Credit cards Visa-M/C-Discover. We also accept all other standard forms of ... morepayment that ebay has deemed not real. We accept credit cards Visa-M/C. We also accept all other standard forms of payment that ebay has deemed not real. We do not ship until money has cleared our bank. International orders must be bank to bank wire transfer or credit card. All California residents must add 8.25% sales tax. Or provide a signed CA resale certificate. Auction Policy Winning bidders must acknowledge within 3 business days after auction close. Payment must be received within 7 days after order is confirmed. Non paying bidder will be filed after 10 days of no contact. We reserve the right to cancel bids of any users with negative feedback or bid retractions. We do our best to accurately describe the item for sale. However we are not responsible for typographical errors. Some of our items advertised may be used and have scratches etc. from normal wear. Any additional imperfections will be listed in the description. Orders will be shipped within 3 business days upon confirmation of payment. All auction sales are final. No refunds are available. We can only issue an exchange for the following reasons: Incorrect Quantity. Incorrect Item Shipped, Freight Damage Shipping
3277 Tokio Electron C-4-21200-01 (G) Wafer Guide 300mm
You Are Buying a Tokio Electron C-4-21200-01(G) Wafer Guide 300mm Used. Excellent Condition. Sold As Is. Tokio Electron C-4-21200-01(G) Wafer Guide No. C-4-21200-01(G) TQA-1863 24 slots 300mm 3277 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge for freight will be determinate by the destination ... moreupon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
300mm - 12" Silicon Wafer - Lot of 3qty
300mm- 12" Silicon Wafer- Lot of 3qty These are used cause it looks like they are blank but probably no good for production. However they did leave the clean room so these are as is. I don't know a lot about them. They could be used for wall patterns as well as other art projects. I will make sure to package them good for shipping so they don't break. Please see pics and bid with confidence. Shipping may change couriers depending on the items weight and where it's going. I only ship with USPS and FedEx. I will provide you with a tracking number. If you live in Alaska or Puerto Rico USA please contact me about shipping costs before you bid. Usually it is different. If you have any questions feel free to send me a message. I only ship international through the global shipping program. If your not on it please don't bid. ... moreI won't ship it if you win and will cancel the transaction. I have a 100% feedback. HAPPY BIDDINGS!
Asyst Wafer Aligner EG3000B-012A Robot Brooks Crossing 300mm 02.02.2007
Ready to go as good used spare
ASML TWINSCAN 300MM SCANNER WAFER TABLE CHUCK 4022.630.09281
Powered by Frooition Pro Shop Search. Delete this and add your own html code. Delete this and add your own html code. Click to close full size. Item Description. This Listing is for a ASML SCANNER WAFER TABLE CHUCK 4022.451.92783 Model# 4022.451.92783 Serial# 29283-0092 USED VERY GOOD CONDITION. VERY LITTLE USE BEFORE FAB UPGRADED Delete this and add your own html code. About Us We provide new and used surplus parts and tools utilized in the manufacturing of Semiconductors. We are able to provide items such as RF Generators. Vacuum Valves, Turbo Pumps, DC Power Supplies, Photolithography parts, Chillers, Mass Flow related items, and much more. You can find items from OEMs such as Applied Materials, Lam Research, TEL, Neslab, CTI Cryogenics, Leybold, Varian, and more. Many of our items are new OEM surplus, we also have many items that have ... morebeen refurbished, and other items that are used Payment Policy Our primary method of payment is paypal. However we(at our discretion) accept Purchase Orders, Master Card, Visa, AMEX, and Discover. In addition we accept wire transfers, company check. We are pretty flexible with payments, however purchases made with personal and/or company check may be delayed while funds are verified. Contact us with any questions or concerns. Shipping Policy Once again in our efforts to accomodate our customers' needs. We provice flexible shipping options. Even some that are not noted in the listing. We attempt to ship same day in which payment is confirmed. If you desire expedited shipping email us before 2pm CST and we will do our best to meet your requirements. We will also ship on your shipping account, just make notation upon order placement. Any items that we require additional handling that is not noted in the listing will be a the customers cost. On occassion, we charge a $25 handling fee for excess packaging but this is rare and we will always obatin approval prior. Crating and specialized skidding will be at customer side. As always let us know if you have any special requirements
300mm wafer cassettes/carriers (1400pcs)
300mm wafer cassettes/carriers-model: ktb3005(Manufacture: I'm not sure but I guess it's Miraial) quantity: about 1440 pcs. quality: almost new. We purchased wafers through Samsung Austin's bidding. And these carriers are what are left at the time. Please remember. This selling is only for EMPTY carriers. wafers are not included) We would like to sell all these carriers at one time. But if anyone wants to buy particular pieces(Ex. 100, 200, 300pcs. feel free to ask us. will be shipped from Korea. Free shipping charge) Price is available to be adjusted.
300mm wafer cassettes/carriers (25pcs)
IF YOU PURCHASED AN ITEM AND HAVE ANY ISSUES. PLEASE DO NOT FILE A DISPUTE OR LEAVE NEGATIVE FEEDBACK BEFORE CONTACTING ME FIRST Payment We only accepts PayPal Note: Payment must be received within seven(7) days of auction close. Unpaid auction wins will be forfeited after seven(7) days and a Non-Payer report will be filed with eBay to recover the closing fees of the auction. If you wish to combine multiple auctions for shipping. Please make sure the closing dates from the first auction to the last auction are within seven days. Shipping Terms We offer shipping to all 48 continuous United States via UPS or UPS Mail Innovations(UPS MI) We only ship to the address which the buyer provides within the Paypal payment system. Items usually ship within 1- 3 business days. Local pickups are acceptable. For most items. International bidders. Please ... morecontact us about the possibility of international shipping, prior to bidding/purchasing. Payment must be received within one week of the auction close. We reserve the right to cancel the transaction and relist the item if payment has not been received within this time frame. Please allow up to 1-3 business days after payment is received for your order to be processed before shipping. We do NOT deliver to International. Alaska, Hawaii, PO Boxes, APO/FPO addresses, Puerto Rico or Virgin Islands We do not ship to the unconfirmed address. Please contact me first if the address is not been confirmed by the Paypal RETURNS: This item is guaranteed to arrive in described condition and includes a 14 day warranty. If there is any problem with your order we will provide a replacement item or a refund within 14 days. Typically. The buyer is fully responsible for return shipping. Returned items must be returned in the same condition as shipped, with all included accessories. If item is returned damaged or dismantled, the warranty will be null and void. Once the item is returned, we will ship a replacement item. If a replacement isn't available, a full refund, including original shipp
Human/TES co 300mm 25 Wafer Transfer Translation Robot w/ Dumping Hand Denso
Questions? Call us: 1-877-328-9236. Human/TES co 300mm 25 Wafer Transfer Translation Robot w/ Dumping Hand Denso. Manufacturer: Human Co. Ltd. Model: Dumping Robot Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Human Co. Ltd. Condition: Used. This robot is in Good cosmetic condition with only minor blemishes from prior use; a few scratches here& there and some residue from adhesive labels. Notes: This robot was removed from a decommissioned system and we are unable to test it fully. The hand extends and the arm rotates when pushed with light hand pressure. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Human Co. Dumping Robot, Specifications: Manufacturer: Human Co. Ltd, Model: Dumping Robot, For 300mm semiconductor wafers, Motion: 1 axis ... moreeach direction Vertical(arm lifts) Rotation(entire arm rotates. Base stays still, Horizontal(hand extends approximately 22" TES Co. Dumping hand has 25 wafer-carrying fingers, Vacuum holding of wafers on fingers, Denso motors for all axes, THK linear rails and bearings for vertical axis, Belt drive all axes, Formerly used with Denso RC5-SBA Robot Controller, SKU: O33D018 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards
Brooks Automation MagnaTran7 300mm WAFER TRANSPORT ROBOT MAG7 ROBOT
Item Details Make Brooks Automation. Model Number MagnaTran 7 Software/Options Unknown. Tested Untested. Please assume it is non working. Accessories Only items pictured. Contact seller if you have any questions. Condition Used items. Great condition. ADDITIONAL INFORMATION Item is sold AS-IS unless otherwise noted(see item description) Item is sold without accessories probes. Power cord, software, carrying case, etc. unless specifically mentioned or pictured in the description. Item descriptions are as accurate as possible but may contain errors. Photo images are of the actual item. What you see is what you get. Shades of color may be different depending on your computer. Technical information is provided as available. ROR: Item has 5 day ROR(right of return) for a full refund minus s/h BOTH WAYS. Buyer pays return freight for ROR items. ... moreRefund is for bid amount only. Shipping and handling is not refundable. Original shipping container and packaging materials must be retained for ROR items. HANDLING FEE IS NOT REFUNDABLE. Payment is expected within 3 days of auction end or time of purchase. We reserve the right to rescind any sale if not contacted within 3 days. PLEASE contact us IMMEDIATELY if you have a problem with our item(s) or service. We pride ourselves on exemplary customer service and communication. We work hard to satisfy all customers. Your feedback is important to us. PLEASE contact us to discuss your concerns. We have access to many types. Brands of test equipment. If you have a particular requirement. Please contact us with make and model number and we will attempt to get it for you. ITEM PAYMENT INFORMATION North America Buyers: Items will be shipped once funds are cleared. Wire Transfers. Money Orders, Company Check, Personal Check or Bank Check are acceptable. For items over $2.000, wire transfer is the preferred payment method. average 15 days for funds to be cleared) International Buyers: Wire transfer of funds preferred. There is normally a wire transfer fee depending on the or
TEL Wafer Transfer Robot 300mm For Immersion used working
This TEL wafer transfer robot is used working surplus. There are minor blemishes from previous use. Overall the physical condition is good and clean. It was removed from a TEL For Immersion System. System: TEL For Immersion System Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = Call For Shipping Details Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister ... moreAZ6 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged or palletized and shipped via the best and most econ
TEL Tokyo Electron 300mm Wafer Loader Cap for Trias Transfer System Robot
Pulled from a working unit PLEASE REFER TO PICTURES. Unit does not come with accessories unless noted in description. Pulled From A Working TEL TRIAS Transfer System Robot |(TB SPA CVD) TEL Tokyo Electron 300mm Wafer Loader Cap for Trias Transfer System Robot Condition: USED. Pulled from a working unit Estimated Packed Shipping Weight: 10 lbs. Notice Regarding Freight: Please wait for an invoice with shipping charges. Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 14-Day Satisfaction Guarantee(See Details Below) Shipping Notice: Additional shipping charges may apply; pending location. Size and weight of the item(s) Lister: DL Can't find the answer you're looking ... morefor? contact us! Business Hours: 8:00 am to 4:00 pm(PST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: Will-Call Hours: 8:00 am to 3:00 pm(PST) Monday to Friday 8:00AM- 12:00PM(PST) 12:30PM- 3:30PM(PST) Monday to Friday. Email Address: Please Use The eBay Messaging Service, Phone: 408-762-7286, Fax: 408-283-9004, Physical/Mailing Address: Prism Electronics Corp. 18305 Sutter Blvd. Morgan Hill. CA 95037 USA Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards Through PayPal. And Bank Wire Transfers in USD(There is a $25 wire transfer fee) Will-Call items must be paid in full by PayPal or cash with exact change on pickup. We cannot process the order until we receive tax from shipping address within California or a copy of your California Resale Certificate A 8.75% Sales Tax applies to all purchases in CALIFORNIA. All items will be securely packaged or palletized and shipped via the best and most economical way possible. We typically ship with
12" 300mm Silicon Wafer Calibration Standard VLSI Metal Film Thickness
There's not much I can add to the photos. It's a beautiful wafer. In an expensive box, and that's all I know. It bears KLA-Tencor P/N 0156121-000 and is dated 3-19-07. According to EBay's shipping calculator. Shipping will be between $7(east coast) and $13(west) Dhep.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bishm%3Ed%7Fhep-149d22b2cef-0x103-
Asyst Crossing Automation EG-300B-004 300mm Wafer Prealigner
Asyst Crossing Automation EG-300B-004 300mm Wafer Prealigner Manufacture: ASYST AUTOMATION Model EG-300B-004 Condition USED. AS-IS Note Aligner has some scratch or dant of set screw of photo view Shipment-We will ship your order within 2 business days via post airmail. It will usually take 11-12 business days after shipment. Payment-We accept paypal or wire transfer(T/T) paymemt. Return Policy-We carefully inspect the item before shipment. This is As-Is no return.
Asyst IsoPort 300mm Wafer Load Port *Great Condition*
Asyst IsoPort 300mm Wafer Load Port*Great Condition* Pulled from a professional working environment. Guaranteed non-DoA. Tested for Full Functions. R2/Ready for Resale Please Note: if you receive an item(DOA Dead On Arrival OR DAMAGED IN TRANSIT. We will appreciate if you send us a message and on the topics please choose"Details Or Other" but please don't open an eBay/Paypal claim before giving me the chance to resolve the issue. We reserve the rights to not honor any additional request after the transaction is completed. So please ask any question you might have before you hit“Buy It Now” button. Payment Options: We Prefer PayPal For Credit Cards please Contact Us, Shipping: Shipping to United States: We ship FedEx and USPS. Alaska. Hawaii, And Puerto Rico require additional shipping charges. International shipments must be ... moreshipped via USPS Express or Priority Mail. If shipping to a PO Box you MUST select Priority Mail shipping option. Buyer’s please make sure your shipping information is FULL and correct. We require a Telephone# for all international shipments. We do not accept responsibility for lost or stolen items as a result of poor or unsafe shipping information. Buyer is fully responsible for all customs duties. Taxes, and shipping. International returns require buyer to cover all shipping costs. We do not mark Items as gifts or lower their custom values. We are a Business and we cannot do that. You will receive tracking information at the email tied to your ebay account. Tracking information will also be updated in ebay once the shipment is processed. Standard shipping = First Class Mail. Unless otherwise noted) Ground Shipping = FedEx Ground Shipping Expedited Shipping = USPS Priority Mail 2day Shipping = FedEx 2day shipping, Return: 14 DAY RETURN POLICY: We want our Customers to be happy with their purchases. So customers are welcome to return items within the first 14 days of receiving the item if they are unhappy with the item. There will be a 20% restocking fee any return that are not
Used 300MM Wafer Positioning Station (wrs)
Click Here. Double your traffic. Get Vendio Gallery- Now FREE! Wafer Positioning Station Wafer Positioning Station Description: Used 300MM Wafer Positioning Station Uses a PK545-NAC Vexta stepping motor Note: Item has been used-Item has signs of use-Selling item as pictured. And only what is pictured Warranty: 30 Days SHIPPING Flat rate to continental U.S. We Ship USPS and UPS(MON-FRI) Daily pickups Based on your items size/weight/destination/etc. $25 Including Tracking Number Shipments outside continental U.S. are shipped USPS Additional shipping charges DO APPLY! Please email for shipping quote. NOTE: THERE IS NO SUCH THING AS CHEAP INTERNATIONAL SHIPPING. U.S.P.S AND UPS HAVE BOTH CHANGED THEIR RATES. AND MINIMIZED THEIR SHIPPING OPTIONS. AT CLOSE OF AUCTION Ending Auction amount +Shipping =Total Email for additional payment instructions ... morePayment options PAYPAL from Verified paypal accounts with confirmed shipping addresses. WE SHIP ONLY to CONFIRMED SHIPPING ADDRESSES. Out of U.S. Payment options PAYPAL Payments shipping to a CONFIRMED shipping address(different then verified) Paypal can not confirm shipping addresses in all countrys so if you cannot provide a confirmed shipping address. Your Paypal payment may be refunded and you will be asked to pay using another method. Shipping We ship all of our items out within 2 business days of confirmed payment. Paypal USERS: WE SHIP ONLY to CONFIRMED SHIPPING ADDRESSES. Shipping to PO Boxes Go USPS Standard 8-10 estimated transit time. Priority shipping costs may be additional. Please email for quotes. Outside U.S. Shipping Additional shipping charges do apply. Please email for shipment quote. All out of U.S. shipments ship USPS. Shipping Policy We report Actual sold price on USPS forms. Buyer is responsible for any/all Custom Fees. Warranty We do not offer any warrantys on our items unless otherwise noted in description. We do not accept any As-is products for return. DOA/30 day Warranty DOA(Dead on arrival) Items MUST be reported DOA from 7 days after rece
BROOKS Magna Tran7 300mm WAFER TRANSFER ROBOT ARM SET P/N 002-0016-34
Brooks MagnaTran7 300mm WAFER TRANSFER ROBOT ARM SET P/N 002-0016-34.MAG7 ARM Manufacture: BROOKS AUTOMATION Model MAG7 ARM SET.P/N 002-0016-34 Condition USED Shipment-We will ship your order within 2 business days via post airmail. It will usually take 11-12 business days after shipment. Payment-We accept paypal or wire transfer(T/T) paymemt. Return Policy-We carefully inspect the item before shipment. In case you found a defect on the purchase.We will exchange or refund. Buyer pay all return item
NOVELLUS SPEED 300MM FOUP WAFER CARRIER 71-253695-00
This listing is for a Novellus FOUP Part Number 71-253695-00 Items was removed from the foil packaging for photographic purposes only Looks unused
Brooks ATR-8 300mm Wafer Robot Series 8 Controller Linear Track Pendant
Brooks ATR-8 300mm Wafer Robot Series 8 Controller Linear Track Pendant Shipping Information: | Payment Information: | Terms: | About SRC Surplus(Click to Enlarge) Description Brooks Automation Reliance ATR-8 300 mm Wafer Robot w/ SERIES-8 ATR8 Wafer Controller Linear Track System. Controller, Teach Pendant Brooks Automation 017-0483-01 ATR Wafer Robot Brooks Track System 002-8761-02 included Brooks Automation series 8 Controller: 108549 Brooks Automation Series 8 Controller: 002-9401-02 Brooks Automation: Robot Teach Pendant TT1ENR2-1-TVS-ES-BROOKS All Interconnect Cables Included(see pictures) Unit is sold as is. As pictured. Without warranty unless stated Above specifications are for the current model. Some specifications may vary slightly from the actual unit. note all text and photos copyright 2015 021015 Brooks. Click to Enlarge) Click ... moreto Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Shipping Information: Shipping& Handling is calculated on each item. Once your billing& shipping information is received by SRC Surplus after your successful purchase. If you would like a S&H quote on this item before bidding(purchasing) please email us your complete shipping address. Shipping Options: UPS Red-Overnight UPS Blue 2-Day UPS Ground Freight UPS International: Worldwide Express Worldwide Expedited We will not ship with USPS No collect shipping no shipments will be shipped on customer accounts until there is a business relationship of multiple purchases over a 6-9 month period. If you have any questions please contact us: Phone: 602.635.4480 Payment Information: You will be directed to our secure checkout where we accept Paypal. Terms: The following is a summary of the SRCSurplus.com Terms and Conditions of Use and Sale. Which are incorporated here by this reference and govern this transaction in their entirety, and which you are advised to read by visiting SRCSurplus.com and clicking on“TERMS OF SALE” SRC Surplus disclaims and