Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
600.00
Buy It Now
$15.07 Shipping
Condition: Used
Location: San Jose, United States
Questions? Call us: 1-877-328-9236. Teledyne Instruments 452 15VDC 1/4" Process Ozone Gas Sensor M452(0190-27543) Manufacturer: Teledyne Instruments ... moreModel: M452 Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Teledyne Instruments Condition: Used. Comes in non original packaging. Item has some minor scuffs but overall in good cosmetic condition. Notes: Item was removed from a working environment. No testing was performed. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Teledyne Instruments M452 Process Ozone Sensor, Specifications: Manufacturer: Teledyne Instruments, Model: M452, Type: Process Ozone Sensor, P/N: 033590300, Ranges from 0- 20 WT% Temperature and Pressure Compensation Up to 3 Bar absolute, Operating Temperature Range: 5- 45° C, Power: 15VDC@ 1.5A max, Flow: 0.5 to 5 LPM, Proof Pressure: 115 PSIA, Units of weight% or grams/Normal m 3 Narrow Band detector eliminates need for UV filters, Analog and RS485/RS232 outputs, Fittings: 1/4" Gyrolok, 0190-27543, SKU: O03Y002 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping cost
$
1750.00
Buy It Now
Free Shipping
Condition: Used
Location: Seattle, United States
Typically the MASCOT Loadlock would be mounted to a gate valve attached to a main system chamber. After the loadlock is evacuated to the desired vacuum ... morelevel, and the gate valve opened, the substrate is transferred into the process chamber by gliding the magnet carriage along the outer tube.
$
2900.00
Buy It Now
Free Shipping
Condition: Used
Location: Portland, United States
The working condition is unknown.
$
229.61
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: ePB-8/200-25-R.2.
$
500.00
Buy It Now
$527.43 Shipping
Condition: For parts or not working
Location: Gilroy, United States
"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. 95020 (408) 886-3700.
$
10000.00
Buy It Now
Condition: Used
Location: Bosque Farms, United States
Do not have the proper power or knowledge to try it out. We do not have power cords to provide unless they are attached to the item or unless stated that ... morethey are provided. If one is pictured it is for testing purposes only unless it is attached or stated that it will be included.
$
899.00
Buy It Now
$25.00 Shipping
Condition: New – Open box
Location: Rochester, United States
Applied Materials AMAT 0200-09782.
$
37500.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
95020 (408) 886-3700. "The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies.
$
25.33
Buy It Now
$12.45 Shipping
Condition: Used
Location: Vallejo, United States
NuBus Ethernet Card Anthony Colozza Normal.dotm Anthony Colozza 3 3 2011-10-23T14:57:00Z 2011-10-23T15:01:00Z 1 57 326 Northland Scientific Inc. 2 1 400 ... more12.0 Clean Clean false 0 0 false false false false Asante Fast PCI Ethernet Card This item is an Asante Technologies Inc. PCI slot Ethernet Card. The card is in good operational condition. It has the following specifications. 10/100 mbps transfer speed· RJ45 Ethernet Port· PCBA 09-00169-01 Buyer pays $5.00 for shipping within the US. International shipping is $13.95 for USPS priority mail flat rate box. Good Luck and Thanks for Bidding
$
10000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
Is there a Dock or Forklift available?. "The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local ... moreregulatory agencies. However, this is the extent of my testing of this system, and it is being sold as-is.
$
29850.00
Buy It Now
Free Shipping
Condition: New
Location: Fremont, United States
Item only opened and removed from shrink wrap so that we could photograph the actual item.
$
4000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
$
809.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
BWCVD WAFER LIFT ASSEMBLY PRECISION 5000. This AMAT Applied Materials 0010-10188 BWCVD Wafer Lift Assembly is used untested surplus and is being sold ... moreas-is. The physical condition is good and there are visible signs of previous use and handling.
$
732.75
Buy It Now
Free Shipping
Condition: Used
Location: West Palm Beach, United States
A4249-9215HG VEXTA ORIENTAL 5-PHASE STEPPING MOTOR HARMONIC GEAR DC 1.4A 5 Available You Pic.
$
800.00
Buy It Now
Free Shipping
Condition: Used
Location: Gilroy, United States
This listing is for one used Applied Materials, Spindle, Assy, 0020-44837. Unit may have minor scuffs and or abrasions associated with normal use. Thanks ... morefor shopping with us!!
$
1000.00
Buy It Now
Free Shipping
Condition: Used
Location: Gilroy, United States
This listing is for one used Applied materials Amat spindle 0040-34464. Item may have minor scuffs and or abrasions associated with normal use. Thanks ... morefor shopping with us!
$
1004.24
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: A120364. This MRC Materials Research A120364 is used working surplus. The physical condition is good, but there are signs of previous use and ... morehandling.
$
5502.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0041-12766 300mm ESC Electrostatic Chuck Base is used working surplus. The physical condition is good, but there are signs ... moreof previous use and handling. BASE, ESC 5-009, WITH SCREW PLUG, PRODUCER.
$
500.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. I plugged the unit ... morein, and the power light flashed when the circuit breaker was flipped, but I could not get it to do anything else.
$
45502.96
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Nikon OPTISTATION 7 is used untested surplus and is being sold as-is. The physical condition is good and there are visible signs of previous use ... moreand handling. Untested As-Is. Item Condition: Untested Surplus, Sold As-Is.
$
5000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
Is there a Dock or Forklift available?.
$
3000.00
Buy It Now
$544.53 Shipping
Condition: Used
Location: San Jose, United States
$
30.00
Buy It Now
$5.15 Shipping
Condition: New – Open box
Location: Rockwall, United States
Lot of 27+8 AMAT 0910-01018, 0910-01083 Littelfuse 251004 251002, 4& 2 AMP 125VNew surplus You get thirty days right to return if you’re not happy 😃
$
1210.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The unit's J1 connectors are slightly bent and the body has scuffs (see photos). The physical condition is good, but there are signs of previous use and ... morehandling. Part No: H0258001. Part No: H0236001.
$
675.00
Buy It Now
$18.00 Shipping
Condition: Used
Location: Rochester, United States
AMAT 0150-09265. Used, good condition.
$
408.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: 2000QDL. The physical condition is good, but there are signs of previous use and handling.
$
9.00
Buy It Now
$15.12 Shipping
Condition: New
Location: Simpsonville, United States
Thickness: 5.35mm.
$
603.10
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
The unit's J1 and J2 connectors are slightly bent, The body has scuffs (see photos). The physical condition is good, but there are signs of previous use ... moreand handling. Item Condition: Untested, Sold As-Is.
$
657.75
Buy It Now
Free Shipping
Condition: Used
Location: West Palm Beach, United States
$
299.00
Buy It Now
$17.45 Shipping
Condition: New
Location: New York, United States
New Disco FINE CERAMIC POROUS CHUCKTABLE MODFŸ489-- Dチャックテンプール(テープ°カット ポーラス)TX—9927
$
350.00
Buy It Now
$80.00 Shipping
Condition: Used
Location: Temple, United States
Model Number: 300mm Wafer. Serial numbers or country of manufacture may vary.
$
3300.00
Buy It Now
$200.00 Shipping
Condition: New
Location: South Korea
In addition,Electrical connectors are also 100% compatible.
$
2509.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Fortrend Engineering Lamina 202 SMIF Reticle Transfer System. This Fortrend Engineering 143-015080-001 SMIF Wafer Loader is used working ... moresurplus. The physical condition is good, but there are signs of previous use and handling.
$
100.00
Buy It Now
$6.00 Shipping
Condition: New
Location: Chesterfield, United States
Five (5) K&S Ball Bonder capillaries (new).
$
354.61
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: WT28HLAS. This H-Square WT28HLAS 8" 200mm Horizontal Wafer Manual Transfer Slide is used working surplus. The unit does not have the side wall ... morepins installed (see photos). The physical condition is good, but there are signs of previous use and handling.
$
3200.00
Buy It Now
$100.00 Shipping
Condition: Used
Location: Westland, United States
$
7.00
Buy It Now
$15.12 Shipping
Condition: New
Location: Simpsonville, United States
Thickness: 2.20mm.
$
85.00
Buy It Now
Free Shipping
Condition: Used
Location: United States
APPLIED MATERIALS ASSY. 0100-00123 REV. WAFER SENSOR BD.B. Shipped with USPS Priority Mail.
$
350.00
Buy It Now
$16.39 Shipping
Condition: Used
Location: Boerne, United States
16321-01D For Gasonics Aura 3010, 3000 2000LL L3510 AWD-D-1-0-023-011. Lot of 2 with panel and 96-0188 cable Clean used floppy fdd drives with data and ... morepower cables, with front panel , removed from working tool. Clean used surplus, will be sold and shipped as shown. Guaranteed NO DOA Will pack well and ship usps or fedex Ground to the winning buyer. Thanks for looking and Happy bidding!
$
2749.00
Buy It Now
$11.60 Shipping
Condition: New
Location: Tampa, United States
AMAT 0010-10951 ASSY BLADE 6” Rev 2. Condition is New. Shipped with USPS Ground Advantage.
$
2502.24
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Pacific Scientific Motor Part No: P21NSXA-LDN-NS-02. Serial numbers ... moreor country of manufacture may vary.
$
7500.00
Buy It Now
Condition: Used
Location: Oak Ridge, United States
Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. YES Yield Engineering ... moreSystems 6A Vapor Prime Vacuum Bake Oven Model: YES 6A S/N: 87468 Features: West 2072 Temperature Controller 120v/ 60Hz/ 14A/ 1700w Chamber Dimensions: 16.5"w x 16.5"d x 16.5"h with one shelf. External Dimensions: 35"w x 24'd x 22"h This oven is in excellent cosmetic and perfect operational condition. And carries our standard 4-month, no-nonsense warranty. Normal 0 For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide. You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our facility is located in the metropolitan NYC area. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. De tails: HMDS Vapor-Prime. Vacuum-Bake Oven- Used primarily to create the ideal surface for photoresist adhesion- Reduces HMDS consumption by over 95% when compared to conventional spray or flood prime processes- Multi-programming capability- Electropolished 316 polished stainless steel chamber- Front loading HMDS chemical bottle(1 quart size) Infrared HMDS low level sensor- Max Wafer Size: 6"150mm- Wafer capacity: Holds 8 cassettes of 6" wafers- Chamber Dimensions: 16.5"x16.5"x16.5" LxDxH) 8" Clearance above and below shelf- 200 Watt Heaters(Qty 8) N2 Surge Control Valve- Throughput: 400+ wafers per hour
$
1508.15
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Right Mapping Unit. Assembly Part No: 5087-403663-11 MAPPING UNIT ASSY. ... moreCareer Station Block. 5080-193393-11: STAND TK006-01-020-0 (Qty. ).
$
1999.90
Buy It Now
Free Shipping
Condition: Used
Location: South Korea
POWER 120 VAC.
$
803.24
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Semitool 500U0102-01V 280 Base TFM Rotor Assembly 8000-9600-0300 C/S SRD is new surplus. The physical condition is great. 8000-9600-0300 C/S 280 ... moreBASE TFM RTR. Part No: 500U0102-01V.
$
1209.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0020-34862 150mm ESC Electrostatic Chuck is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. Model No: PEDSTL,150MM,FLAT(1S),5.679 DIA,ESC,SIMP.
$
1203.24
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Semitool 500U0198-01 Dual Clamshell Scepter Rotor SRD Spin Rinse Dryer is used working surplus. The physical condition is good, but there are signs ... moreof previous use and handling. ROTOR ASSY DUAL CLAMSHELL SCEPTER.
$
1199.95
Buy It Now
Free Shipping
Condition: For parts or not working
Location: West Sacramento, United States
We take joy in helping you get the gear you want at the price you need. We work hard to include a lot of pictures of each item. Picked up from a discontinuing ... morefacility in unknown condition. It is also subject to change during peak periods.
$
605.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Semitool Part No: 620T0072-01. This DuPont UMP-09353 End Effector 200mm Paddle is new surplus. The physical condition is great. Serial numbers or country ... moreof manufacture may vary.
$
409.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0200-10073 200mm Quartz Insulator Pipe is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. Model No: QUARTZ INSULATOR PIPE 200MM.
$
359.23
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
The physical condition is good, but there may be signs of previous use and handling. Manufacturer Refurbished.
$
1000.00
Buy It Now
$175.00 Shipping
Condition: For parts or not working
Location: Gilroy, United States
$
200.00
Buy It Now
$131.09 Shipping
Condition: For parts or not working
Location: Gilroy, United States
The Eimac tubes light up, as shown. 95020 (408) 886-3700. "The sale of this item may be subject to regulation by the U.S. Food and Drug Administration ... moreand state and local regulatory agencies.
$
899.00
Buy It Now
Condition: For parts or not working
Location: Estero, United States
THIS ONE HAS AN UPGRADED MOTORIZED PLATFORM AND COUNTING DISPLAY ETC. WE ARE NOT FAMILIAR WITH ITS OPERATION.
$
10000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
Among other things, it features an ENI ACG-6B-01 RF Generator (600W, 13.56MHz), and an Edwards QV80 DryStar Vacuum Pump, as shown. Is there a Dock or ... moreForklift available?. "The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies.
$
300.00
Buy It Now
Free Shipping
Condition: Used
Location: Jenison, United States
New in the Box Partlow 1161+ Item# 6074 Location 6-2 o`7.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B6mwimiu%3Eo%607-13f5bc9657b-0xfd-
$
550.00
Buy It Now
$12.50 Shipping
Condition: Used
Location: San Jose, United States
AXCELIS 790050306146 Eaton 1105441 ACTUATOR ASSY ELECTRODE
$
1509.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: 02229-12165, WINDOW, LARGE SUSCEPTOR LEV. This AMAT Applied Materials 02221-12168 P5000 200mm Susceptor Leveling Kit is used working surplus. ... moreThe kit did not include a parts list, the kit may be missing components (see photos).
$
1200.00
Buy It Now
$19.10 Shipping
Condition: Used
Location: Raleigh, United States
This vat was used for 11 days for testing purposes on a AMAT P5000 . The tool was then decommissioned.This high-quality wafer processing equipment from ... moreAMAT is a great investment for your semiconductor and PCB manufacturing needs. Made in Switzerland, this equipment is built to last and meets industry standards. The brand, VAT, is known for its reliability and precision.
$
250.00
Buy It Now
$14.50 Shipping
Condition: New – Open box
Location: Billings, United States
1 2 3 4 5 6 7 8 9 10
 In 

Former Listings  
 
RARE 6"/150MM SILICON WAFER ETCHED NV MEMORY WAFER SUPERB COLLECTIBLE COLOR ETCH
A very rare and unusual object– this CAN be yours! It is very difficult to photograph this object as it is so shiny but here is what it is: 150Mm diameter part processed pure silicon wafer with etched pattern· Etched patterns/circuits· Rare 150 mm(6” diameter as new wafers are almost exclusively 300mm(12” now- this dates the wafer to mid-1980's The wafers have test chips consisting of capacitors of a non-volatile memory technology. These wafers consist of capacitor structures utilizing Platinum electrodes and some exotic very high-k materials like SrBi2Ta2O9. Often diffusion barriers were deposited prior to the capacitor module but I have no way of telling this under my cheapo microscope. It is a great item and very interesting– could be embedded in Lucite or mounted in a nice frame. Stunning- absolutely STUNNING under halogen ... morelighting Would make a nice talking point in anyone’s den or office area. Wafers like this are usually destroyed so as to stop them being copied or stolen. When the light strikes the surface at the right angle- lots of fantastic colours are produced. The picture does not do it justice as it is so difficult to photograph! NOTE: These are vintage wafers AND AS FAR AS I CAN SEE THEY ARE PERFECT but I can guarantee you that they will have no cracks or chips at all- 100% money back guarantee. These wafers will make a great addition to your collection or as a talking point in your den or office. A great gift for those interested in technology. Item will be properly packaged and sent by Registered Airmail– 10-15 days shipping time or you can opt for Speedpost Express Mail Service(Like DHL/TNT/Fedex) at an additional small charge. Powered by The free listing tool. List your items fast and easy and manage your active items.
8"/200mm SILICON WAFER WITH MEMORY PATTERN SUPERB REFLECTIVE EYE CANDY FLASH +++
8"200mm SILICON WAFER WITH MEMORY PATTERN SUPERB REFLECTIVE EYE CANDY FLASH +++ THE PHOTOS SAY IT ALL… Each Buy It Now winner will get 1(one) beautiful 8" or 200mm etched silicon wafer. I believe that the devices are memory dies but cannot be sure as my microscope if not good enough to get the full detail. To my amateur eye it looks like it could be FLASH memory- almost certainly it is memory of some sort. These are manufacturing pulled wafers- 100% perfect wafers would cost in excess of $500(US Dollars) and in any case would not be for sale on eBay as the manufacturer always destroys any surplus wafers. There will be some imperfections and micro scratches and this is just part and parcel of buying used wafers- you gotta live with it! The gallery photo shows how this wafer looks under halogen lighting- stunning eh? The second and ... morethird photos are of the wafer under a simple neon tube desk lamp. Note the lovely blue oxide finish on the reverse. The other photos are of the wafer die pattern under my cheapo USB microscope. Wafer will be well packed with plenty of bubble and space to breathe- posting is by Registered Airmail with a tracking number that can be used to trace the item. gsrx_vers_476(GS 6.4.4(476)
Iomega Zip Power Supply P/N 03522300 5 VDC 1.0A Positive (+) Tip Polarity Plug.
Be sure to add me to your favorites list Iomega Zip Power Supply P/N 03522300 5 VDC 1.0A Positive(+) Tip Polarity Plug. Sorry for the poor photo. my camera died and it was taken with a webcam. THANKS FOR LOOKING! Check out my other items! Froo www.froo.com | Froo Cross Sell. Free Cross Sell, Cross promote, eBay Marketing, eBay listing Apps, eBay Apps, eBay Application.
Vintage Carved Wood Crane Glass Eye & Beak Is Applied Unknown Material 11" Tall
Vintage Carved Wood Crane Glass Eye& Beak Is Applied Unknown Material 11" Tall One Side has a Glass Eye the other side is missing I have looked for a signature and it appears to be unsigned. Thanks for viewing my items and Photo's Low Starting bid with No Reserve.
BROOKS AUTOMATION 001-7500-02 MTR-5 WAFER TRANSFER ROBOT
TRANSFER ROBOT BROOKS AUTOMATION 001-7500-02 MTR-5 WAFER TRANSFER ROBOT 14 DAY WARRANTY WE USE USPS. UPS AND FED-EX MOST ITEMS WILL BE PROCESSED WITHIN 3 BUSINESS DAYS FREIGHT ITEMS WILL SHIP WITHIN 4 DAYS WE ALSO DO LOCAL PICK-UPS BUYER PAYS FOR SHIPPING COST ON RETURNED ITEMS WE ACCEPT PAYPAL. WIRE TRANSFERS AND CHECKS MAKE CHECKS PAYABLE TO JESSICA CANDELARIA SEND CHECKS TO 377 SPOSITO CIR. SAN JOSE, CA 95136 PAYMENT IS EXPECTED WITHIN 7 DAYS FROM THE END OF AUCTION ADDRESS QUESTIONS TO JESSICA AT 408-649-6814
NEW MILLIPORE WAFERGARD II INLINE GAS FILTER WG2F01HS1 - LOT OF 3
GAS FILTER 3 NEW MILLIPORE WAFERGARD II INLINE GAS FILTER WG2F01HS1 14 DAY WARRANTY WE USE USPS. UPS AND FED-EX MOST ITEMS WILL BE PROCESSED WITHIN 3 BUSINESS DAYS FREIGHT ITEMS WILL SHIP WITHIN 4 DAYS WE ALSO DO LOCAL PICK-UPS BUYER PAYS FOR SHIPPING COST ON RETURNED ITEMS WE ACCEPT PAYPAL. WIRE TRANSFERS AND CHECKS MAKE CHECKS PAYABLE TO JESSICA CANDELARIA SEND CHECKS TO 377 SPOSITO CIR. SAN JOSE, CA 95136 PAYMENT IS EXPECTED WITHIN 7 DAYS FROM THE END OF AUCTION CONTACT: JESSICA AT 408-649-6814
Kulicke & Soffa Kerfmaster Diamond Dicing Blades (LOC-G2)
This auction is for(10) Kulicke& Soffa Kerfmaster precision diamond dicing blades. This lot includes: 2) 776-1300.025. 0030 thickness(3) 770-1500.090. 0090 thickness(3) 770-1500.070. 0080/0085 thickness(1) 770-1500. 0040 thickness(1) 3-6 mic. 0085 thickness These items may or may not have been used. Customer Service: 805) 658-1331 Payment Instructions: Purchases can be paid trough Paypal. VISA, MASTERCARD or AMEX. International Payment: Paypal or bank to bank transfer Sales Tax: California residents must pay state sale tax Shipping: Buyer Pays Shipping. Shipping estimates are available prior to placing a bid if you email us your shipping destination. Shipping charges include packing and insurance Warranties or Returns: No warranties are expressed or implied. Items that are supposed to be operational according to the listing can be returned ... moreif they are D.O.D. Items that are untested or are sold as-is cannot be returned. Additional Terms:Payment must be made within ten days of the auction close date. Please visit our Store for additional items 5=2.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B2d71f%2B%3E5%3D2-13de697c4e4-0x105-
STEC SEC-4400M MASS FLOW CONTROLLER - LOT OF 4 - 100 200 500 SCCM N2 O2 WF6...
MASS FLOW CONTROLLERS LOT OF 4 USED STEC SEC-4400M MASS FLOW CONTROLLERS 1 00 SCCM- WF6 200 SCCM- WF6 5 00 SCCM- N2 50 0 SCCM- O2 VCR FITTINGS 9-PIN. D-SUB INTERFACE UNTESTED SURPLUS MAY NEED TO BE REBUILT OR CALIBRATED GOOD PHYSICAL CONDITION MADE IN JAPAN- 8 SOLD AS IS WE USE USPS. UPS AND FED-EX MOST ITEMS WILL BE PROCESSED WITHIN 3 BUSINESS DAYS FREIGHT ITEMS WILL SHIP WITHIN 4 DAYS WE ALSO DO LOCAL PICK-UPS BUYER PAYS FOR SHIPPING COST ON RETURNED ITEMS WE ACCEPT PAYPAL. WIRE TRANSFERS AND CHECKS MAKE CHECKS PAYABLE TO JESSICA CANDELARIA SEND CHECKS TO 377 SPOSITO CIR. SAN JOSE, CA 95136 PAYMENT IS EXPECTED WITHIN 7 DAYS FROM THE END OF AUCTION CONTACT: JESSICA AT 408-649-6814
NC Nor-Cal Model 950209-2, Isolation Valve, KF-50
NC Nor-Cal Isolation Valve KF-50(2" Model 950209-2 S/N 180112 Untested. Appears very clean, 14 day right of return Quoted shipping price is for lower 48 states US customers only. Ships FedX Ground. Please provide a phone# Call Logan Technologies LP. 254-773-4070
NC Nor-Cal Model ILVP-1502-NBR-CR Isolation Valve, KF-40
NC Nor-Cal Isolation Valve. Phenumatic KF-40(1 1/2" Model ILVP-1502-NWB-CR S/N 194298 Untested. Appears very clean, 14 day right of return Quoted shipping price is for lower 48 states US customers only. Ships FedX Ground. Please provide a phone# Call Logan Technologies LP. 254-773-4070
Quadrupole assembly
Quadrupole Assembly. Comes with blueprints. Came out of a working lab but I have no way of testing it, so it will be sold as is with no warranty or guaranty on anything. I don't know much about this but if you have any questions please email me, and ill try to answer them the best I can. Email me at swaga101@comcast.net
Trebor Magnum 960 Diaphragm Pump, Ultra-High Purity Chemical, Factory Rebuilt
Trebor's largest capacity 25 gpm workhorse Ultra-high purity chemical. Air-driven diaphragm pump. Factory rebuilt with Trebor rebuild kit at a cost of $1320. Factory tested, meets all specifications. Obtained from the spare parts stock of a major industrial manufacturer's US operation that relocated to Asia. Pictures taken with the original plastic wrapper left on the pump. These pumps have a selling price of over $8000 USD, so this ebay price listing is considered reasonable. Here's a link to Trebor's website. Giving full specs on the pump:
Asyst Technologies Wafer Aligner OFH -3000Q
This unit looks complete and to be in working order. I am unable to verify so we are selling AS-IS
EATON CUTLER-HAMMER C25FNF350 50A 3-POLE SERIES-A1
Good clean used contact. Tested and works. Posted with
Sloan Dektak Calibration Standard Set,1ka, 10ka, 50ka, 100ka and 200 angstm,
Calibration set all with serial numbers in excellent condition list price $4500.00. These Cal Stds. need to be recertified. I have run them on my Dektak with 10 scans each, with full prints of Multi-Scan Mean and Standard Deviation Summary No free shipping out of USA.
Applied Materials 0010-00071 2.25" Stepping Motor Assembly, Atmosphere
Applied Materials 0010-00071 2.25" Stepping Motor Assembly. Atmosphere. Stepping Motor with encoder and wire harness. Looks like never installed. Guaranteed not DOA. Check out my! M1A2C.
Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
Applied Materials 0010-13753 Assembly. 300mm Mainframe Wafer Sensor. Guaranteed not DOA. Check out my! M1A2D
Handheld UV Black Light Money Detector/Pet Dog Cat Urine Stain Detector NIB
Handheld UV Black Light Money Detector/Stain Detector Complete in Box! Handheld UV Black Light Money Detector/Pet Dog Cat Urine Stain Detector. Handheld UV Black Light Money Detector/Pet Dog Cat Urine Stain Detector. Battery Compartment. Handheld UV Black Light Money Detector/Pet Dog Cat Urine Stain Detector. Front of Box. Handheld UV Black Light Money Detector/Pet Dog Cat Urine Stain Detector. Right Side of Box. Handheld UV Black Light Money Detector/Pet Dog Cat Urine Stain Detector. Back of Box. Handheld UV Black Light Money Detector/Pet Dog Cat Urine Stain Detector. Back of Box, Closeup of Instructions. Handheld UV Black Light Money Detector/Pet Dog Cat Urine Stain Detector. Left Side of Box. Handheld UV Black Light Money Detector/Pet Dog Cat Urine Stain Detector. Bottom of Box. Handheld UV Black Light Money Detector/Pet Dog Cat Urine ... moreStain Detector. Top of Box. Handheld UV Black Light Money Detector/Stain Detector! Handheld UV Black Light. New in the Box from New Concept Technology Company of Hong Kong, quickly and easily helps check money or locate invisible sources of orders and make them visible. Multiple uses include stamp identification. Money inspection, forensic inspection, cleaning and sanitation inspection, and pet stains. 3/4" x 1 3/4" x 2 1/4" long, weighs only 1.2 ounces, easy to carry in pocket or purse. Item is new, opened only to test and take pictures. Features: Compact, light weight and easy to use UV money detector. Long wave UV light, not harmful to your eyes. Uses 2 AA batteries(not included) Tested and Working! Thanks for looking! No Reasonable Offers Refused! If you have any questions. Please ask! I offer a money back guarantee- My guarantee is simple: 100% Satisfaction Guaranteed. My fault, your fault, nobodies fault. If you are for any reason not satisfied you may always return this item for full refund of purchase price(S& H not included) or you may suggest an adjustment or other action within 14 days of purchase. I promise, I will do my best to see that you are sat
100 Silicon Wafer Wafers 5" (125mm) Polished, Etched or Films
Powered by Frooition Pro Shop Search. Click to close full size. Item Description. For sale are 100 pieces of 125mm(5" silicon wafers. These wafers could have sides that are polished. Etched, with films, coating or any combination of these. The type and resistance is unknown. But at $.25 a wafer who cares? We ship standard coin stack for these wafers. Please ask any questions before bidding and good luck. Powered by The free listing tool. List your items fast and easy and manage your active items. Sellebrity Analytics. About Us ML Solar. LLC operates as manufacturer and wholesale distributor specializing in the solar and renewable energy marketplace. We are located in Silicon Valley, which continues to be the leading hub for high-tech innovation and development. Our product lines range in assisting the weekend DIY'ers to light commercial ... moreconstruction projects with the highest quality products available on the market today. With the combined years of experience in the solar industry and NABCEP certification, we are dedicated to fulfilling all of our customers' solar needs. Payment Payments for auctions must be made within TWO days of auction closing. We accept payments by PayPal and credit cards processed through PayPal. Wire transfers are accepted for payments over US$3000.00. Shipping We ship everyday Monday through Friday. If you have payment made by 1pm PST the chances are it will ship that day. We DO ship to APO/FPO and Post Office box addresses. To Alaska and Hawaii and to all countries around the world. Buyers are responsible for any international customs and duties that may apply. We can only ship to the address we receive from PayPal. We CANNOT ship to any address you give us over the phone. Email or eBay message. PLEASE MAKE SURE YOU CHOOSE THE CORRECT ADDRESS WHEN YOU MAKE THE PAYMENT. WE WILL NOT BE ABLE TO CHANGE THE SHIPPING ADDRESS AFTER PAYMENT IS MADE. Sometimes we need to contact you for additional verification. This may happen if your PayPal address is unconfirmed or if your credit c
LOT of 2 Electroglas PCB Assy Extended Memory Boards. 256303
LOT of 2 Electroglas PCB Assy Extended Memory Boards. 256303
MILLIPORE Gen-2 Plus, Variable Rate Dispense Controller - 30 Day Warranty!
Up For Auction is this: MILLIPORE Variable Rate Dispense Controller Gen-2 Plus. I do not have the proprietary power supply to test this. But all other items taht came in this batch did work and I expect this to also. No signs of droppage. I warranty it to your satisfaction. 30 Day Warranty! Satisfaction Guaranteed! My Promise to You: You are buying from a person that truly cares about your satisfaction. I stand by every sale. My word is my honor. I sleep good at night knowing I have treated everyone fairly and honestly. I enjoy bringing a smile to peoples faces. I make my living here and am grateful for your patronage. Thank you for enabling an old retired guy to make a living. Kent Payments should be received within 3 calendar days from the auction end date. If you need longer. Contact me so I can note it, I am patient. I accept Visa/Mastercard ... moreand Paypal. Walk-ins are welcome and no shipping charged when done so. I keep a pot of coffee on for such. If you are not a"Verified" Paypal person(or use an eCheck) Understand I will not receive money for about 3 days. I will not ship until Paypal says"cleared" on my end. Verified" Paypal customers are credited instantly. I ACCEPT VISA/ Master Card call me at 775-232-7288 for instant processing International bidders may incur local custom's fees that were not paid on this Ebay sale. Items shipped via FEDEX when possible Large items are shipped via palleted truck freight Please email me your phone number if it is not in your ebay form. This is needed by the shipper to prevent delivery problems. All rates are Ground rates unless specified otherwise Shipping rates are to the 48 contiguous United States Only. Military addresses and destinations outside the 48 states may incur higher shipping. I need a physical shipping address. Fedex will not ship to a PO Box. If you are buying multiples. Dont pay until you are done purchasing. Then email me you are done. I will try to combine shipping and give you a cheaper total. Some things are delic
Applied Materials 0090-20029 Assembly, Wafer Sensor Cutler-Hammer E65CNL1 A1
Applied Materials 0090-20029 Assembly. Wafer Sensor. Looks like new. Listing as used. Cutler-Hammer E65CNL1 Check out my! M2B1E.
Applied Materials 0090-76218 Banner Q23SN6CV50 Photoelectric Sensor NPN
Applied Materials 0090-76218 Pohotelectric sensor. Banner Q23SN6CV50 Photo electric Sensor. Output current: 150 ma. Supply Voltage: 10- 30Vdc. Contact Current Max: 150ma. Look like unused. Guaranteed not DOA. Check out my! M2A1B
Applied Materials 3870-01352 Manual Operated Angle Valve MDC 996035
Applied Materials 3870-01352 Manually Operated 90 degree Angle Vacuum Valve. MDC Pn: 996035. Check out my! M1A2B.
Applied Materials 0010-10033 Wafer Lift Ceramic Hoop Precision 5000
Applied Materials 0010-10033 Waferlift. Ceramic Hoop, Precision 5000. Looks very clean. Check out my! M1B1G
Applied Materials 0190-09764 Magnet Driver SCR Power Supply
Applied Materials 0190-09764 Zero Firing Variable Time Base SCR Power Supply. Guaranteed not DOA. Check out my! M4B2D.
100 MM (4") SINGLE WAFER CASE , ENTEGRIS / FLUOROWARE # H93-40
ENTEGRIS/ FLUOROWARE 100 MM SINGLE WAFER CASE VERY CLEAN USED UNITS ITEM# C2 SHIPPING TO U.S.A.
MDC High Vacuum 12" long Nipple 6"CF rotateable Flange
Used MDC Vacuum chamber nipple. 6" Conflat flanges. One side is rotateable. Nice condition. It has been handled very carefully since removed from an MBE system. FREE SHIPPING!
Ceramic Robot Arm End Effector Wafer Handler 310mm
Search our eBay Store! Ceramic Robot Arm End Effector Wafer Handler 310mm SKU: JV-RYA-B-CER310ARMZ Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Ceramic Robot Arm End Effector Wafer Handler 310mm. Material: Ceramic. The Manufacturer and Model of this unit are unknown. Physical Condition: Good We do not have the necessary resources in our facility to test this unit. No pads or accessories are included. Dimensions(L"W"H" 13* 8 ½* 1/16 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. ... moreCA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us
PHILTEC 2015-MD WAFER GROOVER JUNCTION SECTIONER PROCESSING PARTS
PHILTEC 2015-MD WAFER GROOVER JUNCTION SECTIONER PROCESSING PARTS'Most items ship within 24 hours after confirmed payment is received! SearchBy8- 46031 | Location: F85 | Lister: Daren | Tester: Gio | Tech: Ryan | Quan: 1 | List: 1 | Duplicate: False | Plan: H | Amazon: Size: 0x0x0 Weight: 40 | Start: $124.97 | BIN: $0.00 | Type: Auction | $hippingBump: $0 | Date: 9/4/2012 | Condition: For parts or not working | CONSIGNOR VIDE O | RESEARCH LINK | Created: 9/4/2012 | Business& Industrial Manufacturing& Metalworking Semiconductor& PCB Equipment Semiconductor Manufacturing Wafer Processing Photos are of A REPRESENTATIVE item and all of the components for sale. CLICK HERE FOR ADDITIONAL PHOTOS PRODUCT DETAILS REASONS WHY THIS ITEM IS FOR PARTS OR REPAIR: This item does not power on. This item does not work properly and will require ... morerepair. Therefore we are selling the item without a guarantee for Parts/Repair. Please bid accordingly! PARTS OR REPAIR- ITEM NOT FUNCTIONING- ONLY GUARANTEED TO BE AS PICTURED: Our descriptions. And photos represent our best effort to relay all pertinent information about a product. We assure the buyer that they will receive the quantity, components, and level of operation shown in the photos. This does not not represent a guarantee or warranty about the item's functionality beyond what is depicted in the photos. We expect to receive less money for items sold in this condition; as a trade-off, we do not accept returns or offer refunds. We ask that our prospective buyers take these purchase terms into account and bid accordingly. MAJOR PARTS INCLUDED: See Photos for Specifics) • PHILTEC 2015-MD WAFER GROOVER JUNCTION SECTIONER PROCESSING for Parts or Repair. • INTEGRADED POWER CORD for Parts or Repair. Please see photos for what is included in this listing. Items and Accessories not shown are not included. COSMETIC DEFECTS INCLUDE. BUT ARE NOT LIMITED TO: • This listing is for used item in acceptable cosmetic condition with scuffs and scratches associated with normal use
Genmark Automation S08R Robotic System Wafer Transfer Controller
Search our eBay Store! Genmark Automation S08R Robotic System Wafer Transfer Controller SKU: JV-ZOR-C-MARKS08R Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Genmark Automation S08R Robot System Controller 120VAC 6A-220VAC 4A 47-63Hz. Model: S08R. Physical Condition: Good– slight markings on the unit’s casing from previous usage or handling. The ports and power outlets appear undamaged. Unit powers on with Fans Engaging. Modules included: 1 x RS-232 Module 1 x Power Input Module Power cable is not included. We do not have the necessary resources in our facility to further test this unit. Dimensions(L" ... morex W" x H" 18 ½ x 10 ½ x 6 5/8 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping ra
SVG Silicon Valley Group 80068A Speed Control Sensor
Search our eBay Store! SVG Silicon Valley Group 80068A Speed Control Sensor SKU: HS-JIM-C-80068A Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge SVG 80068A Speed Control Sensor for 8600 System P/N: 99-80068A Physical Condition: Good; minor scuffs and scratches. No broken traces or component leads. No residual solder on input pins. May have slightly bent input pin. We do not have the necessary resources to test this unit. Dimensions(L"W"H" 1 1/2* 1 1/4* 7/16 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. ... moreINC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please,
Asyst Technologies VERSAPORT STD RETICLE 9700-5384-01 Rev A 3-4-07
Asyst Technologies VERSAPORT STD RETICLE 9700-5384-01 Rev A 3-4-07 Recently removed from a funct ional tool.
Flow Technologies Ceres SL4 ALD deposition system. Fully functioning
This system was built for a cost of over 3 Million dollars and used for research. Item is fully functional in a clean room and can be inspected. Please contact for inspection. The tool can handle 200mm wafers or 150mm wafers using ceramic wafer carriers. There are two rapid thermal processing(RTP) units that can be used for pulsed atomic layer deposition or continuous gas flow. They are capable of vacuums down to 1 x10-8 torr provided by magnetically levitated turbo pumps backed by a common roughing pump. And temperatures up to 750°C. The RTP's can process up to 4 different gasses using pulsed flow or continuous flow supplied from the gas delivery cabinet that can supply the gas from buffer boxes at pressures from 0.1 torr to 200 torr. The RTP's can process an infinite amount of easily configurable recipes that control multiple ... morecycles of temperature. Gas pulses, gas selection, hold and anneals process segments. For example, one RTP can be used to pulse Tri-Methyl Aluminum and the other water to make single crystal Al2O3 dielectric or both gasses can be fed to the same chamber to make the oxide and two wafers can be processed simultaneously, one in each RTP, at different process conditions. The wafer temperature is measured by both a thermocouple up to 700°C and pyrometers up to the max temperature. The heater is lowered to accelerate heating rate and raised to accelerate cooling. The MBE unit has an E-gun for evaporating material from 4 different recipe selectable pocket crucibles and is capable of temperatures up to 900°C and vacuums as low as 1 x 10-8 torr. The unit controls the operation of three shutters. The source shutter to prevent excess evaporation into the chamber during idle periods, the substrate shutter to prevent deposition on the substrate during crucible heat up and a shutter on a deposition rate crystal to shield the crystal from continuous deposition to extend its life. The E-gun can be controlled in several automated levels including full deposition rate control using the
VERTEQ 1600-55 A/M Controller 1075227-703
VERTEQ 1600-55 SRD Controller 1075227-703. Software version 5.3. Excellent cosmetic condition. Rremoved from working tool. Credit card and International purchases possible, please contact us for details. Contact us for other shipping arrangements.
VERTEQ Sunburst/ Sunburst Turbo Megasonics (narrow) Power Supply
VERTEQ Megasonics Power Supply. Works with all sunburst and sunburst turbo Megasonic units. One120 VAC Input/ one 120 VAC output. Four 12 VDC outputs. Item is fully refurbished, tested and meets all manufacturers specifications. Looks and operates like new. Guaranteed no DOA. Contact us for any questions or accessories. Credit card and International purchases possible. Please contact us for details.
Robitech 8 Cylinder Value Module 980-4800 Series PN 980-4825 SN 59529 Bargain!
Robitech 8 Cylinder Value Module 980-4800 Series PN 980-4825 SN 59529 Great Deal! Subject to prior sale! Photos show everything that is included. For more information. Or to contact us, please feel free to visit our ME page. 14 day Right of Return if not satisfied. Thanks for looking! Please visit our store for more great items! 7673 3MZ-RR18B
G98955 Logitech 1WBS2 Wafer Substrate Bonding Unit
G98955 Logitech 1WBS2 Wafer Substrate Bonding Unit(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# G98955 This Logitech 1WBS2 Wafer Substrate Bonder. Single Station, appears to be in good cosmetic condition, although there are a few small dings, scratches, signs of previous use. Please look at the photos. It powered up as shown, however I really lack the knowledge and equipment to test properly. The chuck measures about 4 5/16” inches in diameter and heats-up when the unit is powered up. Item comes exactly as shown in the photos above. No other accessories are included. If you do not see it in the photos, it is not included. I do not have the equipment or training to formally test this item so it is being sold as is. ... moreThe unpacked dimensions of this item are 25“inches x 25“inches x 15“inches. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below Shipping. Buyer pays a fixed shipping and handling fee of $117.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) All international shipments(including Hawaii and Alaska residents) will be quoted based on winning bidders shipping address. The unpacked weight of this item is 78.00 Pounds Orders will ship 1-2 business days(excludes weekends and holidays) after receipt of confirmed/verified Paypal payments. We do not process orders nor ship on weekends or holidays. Auction Terms. Upon end of auction. The winning bidder should proceed to"CheckOut" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as advertised. As is and without warranty. Questions should be asked prior to bidding as all sales are final PAYMEN
Semiconductor Production Wafer w/9 Processed Layers-Oxidation,Deposition,Etch
Here we have a very nice Semiconductor Production Wafer that is in great condition. The wafer has been processed with Layers of Oxidation.Metal Deposition,and Etch. Thank you. Normal 0 Normal 0 Normal 0
EPM WAFER HOLDER / CHANGE ARM HOLDER / RN814-0335-5
You are buying an EPM Wafer Holder/ Change Arm Holder. This item may be new. But we have listed this as used since we are not sure. It is model number RN814-0335-5. Please carefully read my listing description. This information takes priority over the EBay condition section(It is mandatory for sellers to choose one of their descriptions even though it may be that NONE of them correctly describes the item) I am a surplus seller. I do my best to accurately describe each item however, I am by no means an expert in every field in which I sell products. In many instances I have no way to test these items. If you have any questions, please email me before bidding. If after purchase you have any concerns, please contact me so we can attempt to resolve any issues right away. I offer free shipping to the lower 48 states. PayPal only. Chances are if ... moreoptional items or accessories are not listed you will NOT receive them. Please visit my eBay store for a huge selection of great buys. Thank you for your interest and good luck bidding. inv. 1647)
Used Tokyo Keiso SFC-700 SFC700 Ultrasonic Flowmeter Flow Meter SC02-7005-2
Used Tokyo Keiso SFC-700 SFC700 Ultrasonic Flowmeter Flow Meter SC02-7005-2 Template By Froo! Used Tokyo Keiso SFC-700 SFC700 Ultrasonic Flowmeter Flow Meter SC02-7005-2 Used Tokyo Keiso Ultrasonic Flowmeter Flow Meter SFC-700 SFC700 SC02-7005-2 Power: DC24V 60 Day Guarantee Free Standard Shipping in Continental U.S. Loc PRH 132A SLMN inkFrog Analytics. About Us Contact Industrial Solutions/ Professional Motor Service at 513-349-4119 Professional Motor Service and Industrial Solutions have combined to offer comprehensive solutions to your business' problems. Whether your looking for a part to get your machine/line back up and running or to purchase a spare for your storeroom we have an extensive selection available. We have priced our items to be the most competitive in the market and we usually ship the same day an item is paid for. ... moreTechnical information about the items we offer can be obtained through us by calling the number above. The items that we have rebuilt are tested before leaving the building and everything has a guarantee on it. Payment We accept payment by: Paypal. Payment must be made within 5 business days of auction end. Shipping We take great care packaging every item to ensure safe shipment to you. We charge a shipping fee only to cover our costs of materials and labor. Parcel shipments will be shipped the day of recieving payment or the next business day. If you need an item shipped next day air please contact us first. 513-863-4474. Insurance can be added to parcel shipments through UPS. Insurance for freight items will be considered on a case by case basis. Freight items ship in 1-2 business days. Terms We warrantee and represent that the goods are as described in the above listing. We specifically disclaim any implied warranties of title. Merchantability, fitness for a particular purpose and non-infringement. Customers should satisfy themselves that any item choice made is suitable for their intended purpose or use. We pride ourselves on our customer service. In the rare event that you h
CSS LDR2-74SW-LA LIGHT RING 24V 4mm insert limit
CSS LDR2-74SW-LA LIGHT RING 24V 4mm insert limit Description For sale is one CSS LDR2-74SW-LA LIGHT RING 24V 4mm insert limit. This light ring is in great physical condition and is guaranteed non-doa under our 14 day return policy. This sale includes only the item shown. No additional accessories are included. Only items pictured are included. If a part is not pictured or mentioned in the description then it is not included in the sale. If you are unsure about any aspect of this item please send an email and ask questions before bidding. We do offer combined shipping discounts and free local pickup. Email for details. OceanTech WE BUY& SELL IT EQUIPMENT Guarantee 14 DAY RETURN POLICY: We want our Customers to be happy with their purchases. So customers are welcome to return items within the first 14 days of receiving the item if they ... moreare unhappy with the item. There will be a 20% restocking fee on any 2nd return from the same customer that are not due to a hardware failure within the first 14 days of receiving the item. There will be no restocking fee for items that break in transit or have a hardware failure in the first seven days the customer receives it. Buyer is responsible for return shipping charges unless there was a hardware failure We will not issue refunds for items we deemed tampered with or are dissembled by the customer. Our Customer Support We do our best to ensure that each and every transaction is free of problems and issues. If you have any questions or concerns we are here to help. Per eBay's policy and guidelines you must contact us before opening a case. We ask that you only open a case as a last resort and will do everything we can to prevent you from needing to do so. If you would like to Contact us! Please use the eBay message system under the"contact seller" hyperlink. Hours: We are open Monday-Friday. 9am-5pm Central Time. We are closed on Weekends and all Major Holidays. We rarely exceed 48 business hours when responding to emails. If you do not receive a response. Ple
200mm Wafer Carrier/Boat Flouroware A198-80MB+ and Box Robotic Latch E210-80
Flouroware 200mm Wafer Carrier/Boat A198-80MB and Box Robotic Latch E210-80 Let me know how many you want and we will figure a quantity price and shipping.
Advanced Energy FixedMatch RF Match 3155077-001A AMAT MFA Multifunction Adapter
Here is an AE Advanced Energy Fixed RF Match. This Fixed matching network is designed to mount directly to the AE RFG 2000-2V RF Generator. To convert the output impedance from 50 ohms to 20-j28ohms. This unit is used on Applied Materials Wafer Processing Platforms such as Endura Centura and Producer. AE MN: 3155077-001A Input Impedance: 50 ohms Output Impedance: 20-j28 ohms Tested. Guaranteed Fully Functional
pre-tech FINEJET GENERATOR model PT-005J 10.
DESCRIPTION This auction is for A USED pre-tech Finejet Generator model PT-005J 10. shipping charge are none refundable. Return shipping will be paid by buyer. THANK YOU AND GOOD LUCK. PAYMENT DETAILS. The only forms of payment accepted are via PayPal. Payment is required within 2 business days from the conclusion of the auction. Please make sure the shipping address on the payment is correct. We do combine shipping on multiple items won. Please do not pay for each item as it is won. Let us know you are still shopping so that we do not send an invoice. Let us know when you are finished and we can get you a combined invoice.
Particle Measuring Systems HPD II-100 High Pressure Diffuser. PMS
Hello everyone. This is auction for Particle Measuing Systems HPD-II 100 High Pressure Diffuser Mint! This item is fully functional and very good on the exterior. MINT! condition. Seems to be kept in box, hardly used. No other peripherals besides shown in the pictures) We sell this unit to worldwide ebayers with the fixed international shipment fee $85.00(USD) Using EMS- international registered express air parcel. Taking 4~5 working days to get to you) Item location is Seoul Korea. Winner needs to give us receiver's phone number for prompt and exact shipment! Delivery agency in Korea does not accept international air parcel without receiver's phone number. If the unit is not in good working condition. You can return the unit in 7 days. Then. We can refund full amount of your bid with round trip cost of delivery right after we get ... morethe unit. We can offer combined shipment for a little saving your delivery fee. Thank you for your interest.
National Instruments 187573C-01 with 60 day warranty
National Instruments 187573C-01 Item is in good. Working condition with a 60 day warranty. Buyer responsible for shipping costs both ways. Please visit my store. We have similar items and will be happy to combine items to reduce shipping costs. I may also have multiple quantities so please write me if you need more of a particular item or have any other questions. Photos are representative of actual item* Thanks for looking and have a nice day!
Novellus Concept Two 8" 2 CVD-W Vacuum System
We are listing this Novellus Concept Two 8" CVD-W Vacuum System. See more. Ergonomic cassette loader for DLCM-S, Brooks MTR-5 robot type 2(DLCM-S) w/ animatics controller, 200mm wafer indexer with right and left indexer drives, Right and left loadlock chamber assemblies, 2 Novellus Concept 2/Dual Altus CVD-W deposition chambers. Serial#s DLCM0010 and 95-49-5099, Optical endpoint detection, Gas box: part# 02-024316-00 with Brooks 5964 mass flow controllers, Trazar AMU2-1 matching network, AC control rack. Module controller P100/16 Meg, 24 kW watlow exhaust mantle heat system, PTB packs parcel shipments utilizing foam in place packaging with care. We ship heavy items(we draw the line at 100 lbs in most cases) boxed and strapped to a pallet. We will bill shipments to your shipping account or add into the invoice total. We accept returns ... moreas specified in the Return Policy. We ship using FedEx. UPS or other requested agents but not the USPS. ptb-sales.com 626-334-0500 1331 Mountain View Circle Azusa. CA 91702
Embedtec MAT286 PCB Master Rev. 5A CPU MultiBus Control Board MAT-286 Controller
Questions? Call us: 1-877-328-9236. Embedtec MAT286 PCB Master Rev. 5A CPU MultiBus Control Board MAT-286 Controller. Manufacturer: Embedtec Model: EMB-MAT286 Condition: Refurbished by Manufacturer Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Embedtec Condition: Refurbished by Manufacturer. Comes in bulk ESD packaging. This board was sent off for refurbishment and was re-tested and kept as a spare. The board was carefully removed from its ESD packaging for pictures only and then re-sealed. Notes: No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Embedtec EMB-MAT286 CPU Multibus Control Board, Specifications: Manufacturer: Embedtec, Model: EMB-MAT286, Revision: 5A, Description: CPU Multibus Control Board, PC Compatible: Yes, Total Weight: 2.45 lbs. Condition: Refurbished, ... moreQuantity: 1, SKU: M23P011 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. DougDeals does not ship on buyer accounts or allow the buyer to arra
VG Semicon 458 MBE Shutter Control
GLOBAL SURPLUS MACHINERY We are a large surplus dealer of new and used equipment located at 1729 N 2nd Street. Minneapolis, MN 55411 Stop in or contact us to see thousands of other pieces of surplus equipment! Here's a used VG Semicon controller. Powers on and there is a definite sound made each time one of the control toggle switches is moved from"open" to"closed" That's the extent of my testing. Comes as pictured. As-is. Thanks and good luck! Check out our eBay partner"Global-Machinery" for MANY MORE items! Our items are sold strictly as-is. Untested. Unless otherwise noted in the description. Even on tested items, we cannot offer any guarantee or warranty. We do not accept returns or issue refunds. However, if you do happen to experience a problem with your item, please contact us immediately. We are ... morea small company that can work with you to immediately address your issue. No automated phone system here! You can ALWAYS pick your item up at our warehouse instead of having to freight or ship it! IMPORTANT-SHIPPING POLICY- IMPORTANT! If your item is large/heavy enough to warrant freighting. That's how it must leave our warehouse, unless you pick it up yourself. Freight can be expensive sometimes, especially on very large and heavy items: IF you have a PROBLEM spending the money required to ship your item, DO NOT BID! We cannot offer you any better rates than what you can obtain yourself. For freight inquiries. You MUST contact Scott Cyrus at UTI Transport Solutions located in Silverado, CA. Tel: 714-710-9078 or Scyrus(AT)go2uti(DOT)com- PLEASE NOTE that you will have to remove the parentheses and make the AT and DOT into the respective symbols when you try to reach him. We will box. Wrap, and/or palletize and load from our dock for free! FOB: Mpls. MN 55411 Dock Time is 8:30 AM- 2:30 PM CST Warranties: While descriptions are believed to be accurate. The sellers make no warranties or guarantees, expressed or implied, as to the genuineness, authenticity of, or defect of any
International Scientific Gold Sputtering Coating System PS-2 w/ 6" Glass Chamber
This Unit is in very good used condition and was recently removed from a working environment includes a 6" Diameter Glass chamber THANK YOU For considering our item! We have been selling on ebay for over 12 years! Bid With Confidence! f04.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*6%3D%3F%3Ef04-13e0b7a745c-0xe9-
Nisso NSE Pump Control Panel
Nisso NSE Pump Control Panel. Used. Removed from service in full working condition.
VARIAN TYPE 0531 TC VACUUM GAUGE*
This sale is for a Laboratory Surplus Varian Type 0531 TC Vacuum gauge. Buyer to pay $5.35 for shipping. Feel free to contact me with any questions. Mark 916-761-6310. ka.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bjw%60%60wk6%3Eka-13e0bd4dc5b-0xfd-
KLA-Tencor Alpha-Step 200 (AS-200 AlphaStep) Step Surface Profilometer/Profiler
KLA-Tencor AlphaStep 200 Profilometer/ Wafer Inspection Film Thickness Measurement System Comes with a manual and what you see in the pictures. If you don't see it. You probably wont get it. Specifications are from Tencor and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. The system was removed from service in working condition. We do not have the expertise to test it after that. If there is something you would like us to do. Please let us know and we will certainly try. The X-Y stage is a manual stage(the description says programmable) The Unit's Serial Number Tag Reads: Model Number: 10-02000 Description: Alpha Step 200 Serial Number: 0984-763 Power Requirements: 90-130 VAC. 50/60 Hz, 120 VA Max. Link to a Brief Manual: Description: The Alphastep 200 is a computerized step profiler with a ... moreprogrammable X-Y stage. And a 9" video monitor which displays a magnified view of the sample and stylus during the scan, as well as scan profiles and a summary of scan data. The system has three operating modes: manual" for single scans; semiautomatic" for multi-point scans; and"automatic" for repeated multi-point scans. The system allows the operator to set, and store if desired, a variety of scan parameters such as scan direction, scan length/sampling rate and vertical units(kA vs. Mm) Measurement cursors are used to level plots, obtain a variety of data, and/or to zoom in on a particular section of a scan profile. A printer is built into the system for hard copies of screen scan profiles and data. Applications: The Alpha Step 200 is used to measure step heights. Etch depths, coating thicknesses, micro-roughness and a variety of other high precision surface characteristics. Specifications: Scanning Stylus: 12.5-micron radius Zoom Video Microscope: 40x to 120x Magnification Vertical Resolution: 5 Angstrom(with ±160 k angstrom range. 5 nm with ±160 micro-meter range) Vertical Sensitivity: Measures even the smallest steps. 20 to 50 angstrom Hori
INFICON Guardian™ Co-Deposition Control System EIES-4
Normal 0 false false false EN-US KO X-NONE Guardian ™ Co-Deposition Controller Simultaneous Deposition of Up to Eight Materials Ideal for CIGS Thin Films Guardian can control deposition rates from 0.1 to 10.000 Å/s. It operates one or two sensors, up to 8 optical inputs and controls up to 8 deposition sources, enabling co-deposition of up to 8 materials. INFICON Guardian is ideal for applications such as fabrication of photovoltaic modules. OLEDs, photovoltaics, superconducting thin films and MBE. The Guardian Co-Deposition System is based on Electron Impact Emission Spectroscopy(EIES) Features at a Glance Monitor and control simultaneous deposition of up to 8 materials Deposition rates from 0.1 to 10.000 Å/s Integrated EIES and QCM thin film process control 12 relay outputs and 16 digital inputs Windows-based software for setup ... moreand operation Compatible with INFICON Sentinel® sensors Normal 0 false false false EN-US KO X-NONE
Equipe ATM-3156 Atmospheric Wafer Handling Robot
Equipe ATM-3156 Atmospheric Wafer Handling Robot. Used unit. Unit pulled from a working system. Case has some scratches& stains(see last picture) We are not able to test these unit but our standard 60 day return policy applies. Approximate unit dimensions: 33" high 12" diameter. Unit weight: 68 lbs. Please contact us regarding international and multi-quantity shipping we are often able to find a better price than the quoted shipping price in the listing. For international shipments buyer is responsible for duties and tariffs. Local pickup in San Jose CA. available. Shipping Details: Shipping weight 75 lbs. Box dimensions 40" x 20" x 20" For new and used high-tech and industrial automation parts and systems visit us online at. Or. S.
Package of 10 - Entegris Flouroware 100 mm (4.0") Single Wafer Trays
For sale a package of 10 Entegris Flouroware 100mm(4.0" Single Wafer Trays. Manufacturer part number H20-3000-01(Tray) and H20-3000-02(Cover) These trays are for internal handling of single wafers. The conical pocket holds one wafer face down contacting only the wafer's edges. Tweezer slots on the tray bottom ease wafer removal. Trays are stackable for efficient use of storage space. Either tray on tray or cover on tray. We have 50 packages of ten for sale all in good condition.
Mykrolis Gas Filter Assembly WG2FT1BW2 3000 PSI Max
SEARCH OUR PRODUCTS Mykrolis Gas Filter Assembly WG2FT1BW2 3000 PSI Max Product Description This is a Used Mykrolis Gas Filter Assembly PN:WG2FT1BW2. The filter is attached to a C-Seal on one end and a Swagelok fitting on the other end. This item was pulled from a working machine but has not been tested by us. Cosmetically. The unit is in good condition with no major damage. The photos show a typical item for sale. Only what is shown in the photos is included. Details: 5 MM Swagelok VCR Female Fitting 3000 PSI Max Total Length of Unit: 150MM Height of Coupler Fitted End:50MM SKU: K14G011 Warranty Information Warranty covers defects and malfunctions that we have not described. The warranty period starts the day the item is delivered to your shipping address. Warranty does not cover compatibility issues. Consumable parts or software. Contact ... moreInfo Business Hours- toll free: 877) 328-9236- local: 512) 933-0081- fax: 512)873-0745 Monday to Friday from 8:30 am to 5:30 pm CST Closed on Saturday and Sunday Company Policies PayPal Must ship to a confirmed address, Visa/MasterCard/Discover/Amex US and Canadian issued cards only. Must ship to the billing address of the credit card. Orders over $1.000 require a signed. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. We do not allow the buyer to arrange their own shipping. Shipment must be arranged through us and will be prepaid to us by the buyer. The buyer is responsible for any customs. Duties, taxes, broker fees, etc that are incurred. We do not know what these fees are and have no way to calculate them. You will need to speak to your local customs office if you have questions about these fees. All UPS Standard to Canada shipments incur UPS brokerage fees. Some items shipped UPS Expedited/Express receive free brokerage services. We strongly recommend the buyer co
Power Supply SILICON VALLEY GROUP SVG 90S ASML Cherokee International QT4A1
Power Supply SILICON VALLEY GROUP SVG 90S ASML Cherokee International QT4A1 This unit was just removed from a working machine that was decommissioned. Please see our other listings for more parts from this machine. This item qualifies for eBay Fast N Free- 1 day handling and free domestic shipping with Fedex Ground. All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 14 day not DOA satisfaction guarantee. GC_gsrx_vers_486(GS 6.5.1(486)
ULVAC RFS-02CA 200 Watt, 13.56Mhz, RF Generator
ULVAC RFS-02CA RF Generator 200 Watts@ 13.56 Mhz Untested. Powers up, but we're unable to get around the interlocks and therefore we're unable to turn on the RF. Quoted shipping price is for lower 48 states US customers only. Logan Technologies. LP 254-773-4070
Schumacher 1491-1401D Transfill II Chemical Refill System DDC Interface Module
Search our eBay Store! Schumacher 1491-1401D Transfill II Chemical Refill System DDC Interface Module SKU: JV-HAN-C-SCHDDC Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Schumacher 1491-1401D Transfill II Chemical Refill System DDC Interface Module. Model: DDC. P/N: 1491-1401D. Physical Condition: Good- Minor scuffs/scratches. Functionality/Degree of Testing: We do not have the necessary resources to test this unit. Power adapter is not included. Dimensions(L" x W" x H" 7 x 8-1/2 x 4. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: ... moreSVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with
prometrix corp 36-0046 54-0056 board
prometrix corp 36-0046 54-0056 board question call 214)828-7854@4d
PCT Systems TFF17M-360 8" Crystalline Bath 208V 15A
PCT Systems TFF17M-360 8" Crystalline Bath 208V 15A Please refer closely to all photos before purchasing. Used. Crystalline Bath by PCT Systems Inc. Model no TFF17M-360 8" 208V/ 15A/50/60 Hz. This unit was removed from a decommissioned environment. It has wear from previous use. This unit has light chipping to the glass around the inside chamber(see images) We do not have the ability to test. Thanks for looking- we appreciate your business! Please check out on eBay. Please Read: Other than what's displayed in the images accompanying this listing or mentioned in the description above. There are no manuals, instructions, additional parts or accessories. Unless otherwise stated in the description. The images show the ACTUAL or an ACCURATE REPRESENTATION of item that you are bidding on. All images are photographed by us and are ... morethe exclusive property of TELEVISIONARY Communications and/or The Reuseum. Use of any material in this advertising is prohibited without express permission. Unless otherwise stated above. This item is sold strictly"AS IS, with no warranty. We TRULY& HONESTLY try to represent our auction items to the best of our abilities. Please understand that we are sometimes unfamiliar with an item. That said. You might notice times that the description is very brief or nonexistent. To compensate for our lack of expertise we start prices very low, with the intent that you might be interested in the item for its parts and AS IS condition. Please take this in consideration when bidding on our auctions. We try to take weekends off in order to preserve our marriages. If this auction is scheduled to end during the weekend. We'll be in contact with you on Monday. If you have technical questions, or need shipping quote information about this or any of our other auctions, please try to ask them by Friday morning. Any technical or shipping questions received over the weekend most likely will not be answered before the close of the auction. Shipping Information: Bidders outside the Continen
Epichem EPCR-8 8-Channel Liquid Monitoring Level Indicator w/ Digital Display
Search our eBay Store! Epichem EPCR-8 8-Channel Liquid Monitoring Level Indicator w/ Digital Display SKU: JV-HAN-C-EPICHEM8 Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Epichem EPCR-8 8-Channel Liquid Monitoring Level Indicator w/ Digital Display Model: EPCR-8. Channels: 8. Physical Condition: Good- Minor scuffs/scratches on exterior. Unit is missing rear bezel/cover. The adjustable feet on the botton of the unit are each missing one of the two retention screws(feet are still stable) Functionality/Degree of Testing: We do not have the necessary resources to test this unit. Power adapter is not ... moreincluded. Dimensions(L" x W" x H" 8 x 6-1/4 x 2-3/4. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined ship
MDC Inline BUTTERFLY valve High vacuum 2.75" CF
MDC High Vacuum butterfly valve. 2.75" conflat Used once in an MBE system. FREE SHIPPING!
Cybeq Systems Wafer Handler Assembly w/ Airpax/Oriental Stepper Motor CY0020
Questions? Call us: 1-877-328-9236. Cybeq Systems Wafer Handler Assembly w/ Airpax/Oriental Stepper Motor CY0020. Manufacturer: Cybeq Systems Model: Various Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Cybeq Systems Condition: Used. Comes in non-original packaging. It has scuffs and scratches from normal use. Notes: This item was not tested since we do not have the proper resources. We do not have any specifications on this item and we are not familiar with this type of equipment. So please refer to the pictures for any helpful information. No manuals, cords/cables, or additional items are included if not listed or shown. Included: 1) Cybeq Systems Wafer Handler Assembly, Specifications: Manufacturer: Cybeq Systems, Model: Various, Vexta& Airpax Stepping/Stepper Motor, SKU: M23L029 For ... morequestions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. DougDeals does not ship on buyer accounts or allow the buyer to arrange shipping. Shipment must be arranged throu
8" SILICON WAFER 0120208-02
8" SILICON WAFER 0120208-02 Description For sale is one 8" SILICON WAFER 0120208-02 CONDITION: This item was pulled from a working environment and is guaranteed non-doa under our 14 day return policy. The wafer seems to be in great condition. AUCTION INCLUDES: This sale includes only what is shown in the photos. We do not includes any additional accessories or software with this item. Only items pictured are included. If a part is not pictured or mentioned in the description then it is not included in the sale. If you are unsure about any aspect of this item please send an email and ask questions before bidding. We do offer combined shipping discounts and free local pickup. Email for details. OceanTech WE BUY& SELL IT EQUIPMENT Guarantee 14 DAY RETURN POLICY: We want our Customers to be happy with their purchases. So customers ... moreare welcome to return items within the first 14 days of receiving the item if they are unhappy with the item. There will be a 20% restocking fee on any 2nd return from the same customer that are not due to a hardware failure within the first 14 days of receiving the item. There will be no restocking fee for items that break in transit or have a hardware failure in the first seven days the customer receives it. Buyer is responsible for return shipping charges unless there was a hardware failure We will not issue refunds for items we deemed tampered with or are dissembled by the customer. Our Customer Support We do our best to ensure that each and every transaction is free of problems and issues. If you have any questions or concerns we are here to help. Per eBay's policy and guidelines you must contact us before opening a case. We ask that you only open a case as a last resort and will do everything we can to prevent you from needing to do so. If you would like to Contact us! Please use the eBay message system under the"contact seller" hyperlink. Hours: We are open Monday-Friday. 9am-5pm Central Time. We are closed on Weekends and all Major Holidays. We rarely exc
Belden 8267 RG 213/U 70' RF Cable, 50 ohm, N type Conn
Belden 8267 RG 213/U. 50 ohm, RF Cable, N type connectors 70' long Removed from a ENI 13.56 MHZ Rf supply Lam P/N 853-017805-065 Rev B It will ship Fedx ground. So please provide a phone# Shipping price quoted is forlower 48 states US Customers only. Call Logan Technologies. 254-773-4070 Available for inspection.
Rigaku Feedthrough 10C-26110504 w/ 17" Shaft & Pulley
Rigaku Feedthrough 10C-26110504 w/ 17" Shaft& Pulley. Used units. Units are bright and shiney and haven't seen much use if any. Units are surplus from Solyndra. Model#s on unit 10C-26110504 and RUQA7410. Approximate dimensions: 17" in length. Widest diameter 4 1/2" x 4-1/2" Unit weight: 15 lbs. 8 Oz. See our other Rigaku parts at our eBay webpage below: Please contact us regarding international and multi-quantity shipping. We are often able to find a better price than the quoted shipping price in the listing. International buyers are responsible for duties and taxes. Local pickup in San Jose CA. available. Shipping Details: Shipping weight 18lbs. Box dimensions 20"x 6"x 6" Powered by The free listing tool. List your items fast and easy and manage your active items.
FLOW METER USF100A K10EP ULTRASONIC FLOWMETER (LOT OF 2)
FLOW METER USF100A K10EP ULTRASONIC FLOWMETER(LOT OF 2) Item used. In good working condition. PLEASE. LOOK IN PICTURES, YOU GET EXACTLY WHAT YOU SEE. Thanks for viewing& Good Luck. On Oct-05-12 at 09:18:49 PDT. Seller added the following information: 5=2.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B2d71f%2B%3E5%3D2-13e0c577e12-0xfc-
Advanced ENERGY RFPP LF10, 3150076-002
A 12 month warranty will cover this unit. The generator is fully operational and comes with a test certificate. The condition of the unit is as new! This unit can be configured for the ELCO connector or a 25 pin D Sub connector. What ever the preference from the buyer. UNIT DETAILS- CE COMPLIENT Model Number: LF10. Model Number: 3150076-002 D SE 235 SERIAL NUMBER: 198048 Specification: 250W. 50 to 460KHz at 1000W Input Power: ~3 Wire + PE. 208 V, 50/60 Hz We also repair RF and DC units. www.rfsemiconductor.co.uk
Techware Systems PAL 68000 - P/N: PA-68001 - S/N: PA-0149
| | | | | Features: Techware Systems PAL 68000 P/N: PA-68001 S/N: PA-0149 Used. See Pictures and Description on Condition Included in Listing: 1 Techware Systems PAL 68000 Shipping Information: Shipped via UPS Ground | | | | |
TOKYO ELECTRON TEL ALPHA 8 SERIES ROBOT ARM
The Robot Arm was removed from a working decommissioned TEL Alpha 8 Series Tool. The Robot Arm is in excelent condition. Pictures show the Arm with the covers on and off so the Stepper Motor and Gearing could be seen. as/is
Refurbished LAM Research 715-011630-001 Bottom Electrode (CLEANED) 9600
Questions? Call us: 1-877-328-9236. Refurbished LAM Research 715-011630-001 Bottom Electrode(CLEANED) 9600. Manufacturer: LAM Research Model: 715-011630-001 Condition: Refurbished by Manufacturer Price: Cosmetic appearance may vary slightly from pictured unit(s) Manufacturer: LAM Research Condition: Refurbished by Manufacturer. Comes in factory sealed packaging. Notes: No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) LAM Research 715-011630-001 Bottom Electrode, Specifications: Manufacturer: LAM Research, Model: 715-011630-001, Description: Bottom Electrode, Total Weight: 6.50 lbs. Condition: Refurbished(Cleaned) Quantity: 1, SKU: M34P025 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to ... moreFriday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. DougDeals does not ship on buyer accounts or allow the buyer to arrange shipping. Shipment must be arranged through us and will be prepaid to us by the buyer. Local pick up is available for buyers and their direct employees. Warranty. This item is guaranteed
MRL Diffusion Furnace 6 inch
MRL 150 MM Furnace A nneal process single tube furnace. Max temp 1000°C. Type R T/Cs. Quartz tube is 225/235mm. One 20 SLPM N2 MFC(more can easily be added) Adjustable bypass purge. 16" flat zone. Auto Load. LIke new. Quartz process tube and T/C included!
Chronos IE1394PCI with 60 day warranty
Chronos IE1394PCI Item is in good. Working condition with a 60 day warranty. Buyer responsible for shipping costs both ways. Please visit my store. We have similar items and will be happy to combine items to reduce shipping costs. I may also have multiple quantities so please write me if you need more of a particular item or have any other questions. Photos are representative of actual item* Thanks for looking and have a nice day!
PVDF Tank QDR
This item is a PVDF tank built for HF processing from 1/4 inch sheet stock. The tank is sized for dual 150mm teflon wafer cassettes. The tank is in excellent condition and includes a built in heat exchanger in the outer weir as well as a PVDF dispersion plate. The tank has one 3/4 inch flare fitting input connection on the bottom which is also used for draining the inner weir. The tank also has a 3/4 inch flare tech fluid connection on the outer weir. This tank has been hard cleaned to remove organics. Particulates and metallic/ionic contamination. The tank was packaged for shipment in a cleanroom and is ready for installation. Typically used on R-mnt wet process tools built by Akrion Systems. We have three in stock. Price shown is for quantity 1.
TWO TDK TAS 300 LOAD PORT MACHINES
WE ARE OFFERING AS A LOT OF TWO.THESE TWO TDK MODEL TAS 300 LOAD PORT MACHINES,THESE ARE QUITE POSSIBLY NEW,THESE DO NOT APPEAR TO HAVE EVER BEEN USED HOWEVER,WE ARE FORCED TO OFFER THESE AS USED BEING AS WE CANNOT VERIFY THAT FACT,WE WILL CAREFULLY SECURE AND WRAP THESE ON AS SKID THAT WILL MEASURE 48 X 48 X 50 TALL AND WILL WEIGH APPROX.300 LBS READY FOR SHIPPING WE CANNOT GIVE FREIGHT QUOTES BUT WE PROVIDE YOU BELOW WITH THE EMAIL AND NUMBER TO A GOOD MAN WHO DOES. GLOBAL SURPLUS MACHINERY We are a large surplus dealer of new and used equipment located at 1729 N 2nd Street. Minneapolis, MN 55411 Stop in or contact us to see thousands of other pieces of surplus equipment! 612-302-9469 Check out our eBay partner"Global-Machinery" for MANY MORE items! Our items are sold strictly as-is. Untested. Unless otherwise noted in the description. ... moreEven on tested items, we cannot offer any guarantee or warranty. We do not accept returns or issue refunds. However, if you do happen to experience a problem with your item, please contact us immediately. We are a small company that can work with you to immediately address your issue. No automated phone system here! You can ALWAYS pick your item up at our warehouse instead of having to freight or ship it! IMPORTANT-SHIPPING POLICY- IMPORTANT! If your item is large/heavy enough to warrant freighting. That's how it must leave our warehouse, unless you pick it up yourself. Freight can be expensive sometimes, especially on very large and heavy items: IF you have a PROBLEM spending the money required to ship your item, DO NOT BID! We cannot offer you any better rates than what you can obtain yourself. For freight inquiries. You MUST contact Scott Cyrus at UTI Transport Solutions located in Silverado, CA. Tel: 714-710-9078 or Scyrus(AT)go2uti(DOT)com- PLEASE NOTE that you will have to remove the parentheses and make the AT and DOT into the respective symbols when you try to reach him. We will box. Wrap, and/or palletize and load from our dock for free! FOB: Mpls. MN 5
Brooks Automation 017-0950-01 Robot,Pre-Aligner 002-7391-08, and Controller
Brooks Automation 017-0950-01 Robot.Pre-Aligner 002-7391-08, and Controller Description This sale is for a Brooks Automation 017-0950-01 Robot with Pre-Aligner. Controller, waffer reader, remote, and cables. This equipment was pulled from a working environment and is guaranteed non-DOA under our 14 day return policy. The robot and controller are in great physical condition. The Pre-Aligner is missing the cover(See Photos) This sale includes: 1) Brooks Automation: KPTN30R2-1-ES-BROOKS Remote. 1) Brooks Automation: 002-7391-08 Robot Wafer Pre-aligner. 1) Brooks Automation: 017-0950-01 Robot. 1) Brooks Automation: 002-9400-04 Controller. 1) Cognex In-Sight 1700 Wafer Reader. Only items pictured are included. If a part is not pictured or mentioned in the description then it is not included in the sale. If you are unsure about any aspect of this ... moreitem please send an email and ask questions before bidding. We do offer combined shipping discounts and free local pickup. Email for details. OceanTech WE BUY& SELL IT EQUIPMENT Guarantee 14 DAY RETURN POLICY: We want our Customers to be happy with their purchases. So customers are welcome to return items within the first 14 days of receiving the item if they are unhappy with the item. There will be a 20% restocking fee on any 2nd return from the same customer that are not due to a hardware failure within the first 14 days of receiving the item. There will be no restocking fee for items that break in transit or have a hardware failure in the first seven days the customer receives it. Buyer is responsible for return shipping charges unless there was a hardware failure We will not issue refunds for items we deemed tampered with or are dissembled by the customer. Our Customer Support We do our best to ensure that each and every transaction is free of problems and issues. If you have any questions or concerns we are here to help. Per eBay's policy and guidelines you must contact us before opening a case. We ask that you only open a case as a last resort and will do everyt
DOVER INSTRUMENTS DMM2100 PIGGYBACK #C-20105-00 C-20105-00 USED
DOVER INSTRUMENTS DMM2100 PIGGYBACK#C-20105-00 C-20105-00 USED E3634. 3635
LAM Research 13.56MHz RF Match Network Poly Etch Autotuner Tuner 832-038915-001
Item up for sale is a: LAM Research 13.56MHz RF Match Network Poly Etch Autotuner Wafer Processing Part NO. 832-038915-001 Item is used and is untested other than what is indicated below: If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. ~51 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. ============================================================================ Terms of Sale Payment Options PayPal only or contact us for payment methods to pay on pickup. Bank transfers or payments using a Cashier’s Check may be available. Contact us for additional information and fees. RETURNS ... moreWarranty for Items shipped in the US 14-Day Right to Return- Buyer has 14 days to test item to determine its operational condition unless it was listed as Parts-Only or As-Is. Should the item be received non-operational you may return the item for refund of the purchase price. 14-day period starts the day you receive the item. You cannot return an item because it is not compatible with the system you installed the item into. Please insure that the item you are purchasing is compatible with the application or in the system you intend to use it. Warranty for Items shipped outside the United States All international sales shipped to a destination outside the US are non-refundable. All international sales are final. SHIPPING Shipping within the United States Buyer is responsible for all shipping costs including returns. Please note for domestic shipments(within US) we ship FedEx ground only. But you can use UPS ground shipping rates(calculated automatically by eBay) to estimate the shipping charges. Actual FedEx ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FedEx does not ship to
KIMMON External Hydrogen Torch, H-F-15-KDRN, EXP#5207) Kokusai furnace
KIMMON MANUFACTURE CO. H-F-15-KDRN*THIS UNIT IS TO BE USED IN A FURNACE PROCESS* Specifications: Sale Details: Only items pictured are included- If a part is not pictured. Or mentioned in the description, then it is not included in the sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. SALE TERMS AND CONDITIONS: Only the pictured items are included in the listing. If it is not pictured or mentioned in the description it is not included. Most items that we offer are guaranteed for thirty(30) days after receipt unless specified in the listing. You will be notified of final shipping fees/charges or dimensions via an eBay invoice after the listing ends; please allow 1-3 days as all items are sent to our shipping department to establish shipping dimensions. Your bid or buy-it-now purchase ... moreis a legally binding contract- Place a bid only if you're serious about purchasing the item; If we(the sellers) are unable to establish contact with the winning bidder within 7 days of the end of the auction or if payment is not received within 14 days, item may be offered relisted at the sellers discretion. For all technical questions about our products please contact Linda at 831-439-9300 Ext 469. PAYMENT INFORMATION: Payments are expected in a timely manner or please contact us in the event that payment cannot be made with seven days as payment arrangements can be made. We accept: PayPal. US Money Orders(US Postal or Bank drawn are preferred) Personal or Company Checks(Please allow 10-14 business days for check to clear) and Bank Wire Transfers in USD(There is a $25 wire transfer fee for transfers under $2000 USD) A 8.25% Sales tax applies to California residents or provide a resale tax ID for our records. We cannot process the order until we receive sales tax or a copy of your tax ID. SHIPPING DETAILS: All items will be securely packaged or palletized and shipped either via UPS. FEDEX, FEDEX LTL or BAX Global to ensure traceability as well as the packages safe
NEW BROWNING NSS2048 SPUR GEAR
YOU ARE BUYING 1 NEW BROWNING NSS2048 SPUR GEAR. Gears Type: Spur Gear Diametral Pitch: 20 Pitch Diameter: 2.400 In. Bore Diameter: 3/8 Number of Teeth: 48 Material: Steel Hub Diameter: 1-13/16. THIS IS NEW TRW MRO SHELF SURPLUS AND WE HAVE TWO OF THESE AVAILABLE TO SELL ON EBAY. IF YOU HAVE ANY QUESTIONS PLEASE CALL 480-200-0156. OR HIT ASK THE SELLER A QUESTION. G1WSX2) Estimated Retail Price: $41.49 International Buyers Please Note: Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying. We do not mark merchandise values below value or mark items as"gifts" US and International government regulations prohibit such behavior. ... moreCheck out my other items! Be sure to add me to your favorites list!
Lufran Teflon In Line Heater
This is a Process Technology/Lufran 3 KW In-Line heater with a PTFE/Teflon housing. We have one In stock which has been electrically tested good with an Ohm Meter. The elements and TC also test good. There is a TC for Element overtemp and one for Fluid over temp. The housing has a port for N2 purge and exhaust using 1/4 inch tube. The heater is a 208 VAC 3 KW using 2 wire single phase power. The fluid path has 3/4 flare Entegris fittings installed for both in and out. The heater has about 10 ft of eletrical cord length. The heater can be chemically hard cleaned to remove organics. Particulates and ionic contamination if desired and rinsed with 18 Meg ohm DI water.
Faith Rapitran Micro 425130 25-Wafer Handler Transfer Station
Search our eBay Store! Faith Rapitran Micro 425130 25-Wafer Handler Transfer Station SKU: JV-JIM-C-RAPIMICRO Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Faith Rapitran Micro 425130 25- Wafer Transfer Handler Model: 425130 Physical Condition: Good; some scratches and scuffs. Minor scratches on pusher. Unit powers on. LED display works. Indicators work(manual” reset” automatic” Start indicator lights up. Able to manually operate pusher and combs We do not have the necessary resources to further test this unit. Power cable is not included. Dimensions(L"W"H" 13 3/8* 10* 24 5/8 Manufacturer's ... moreDescription(For Informational Purposes Only) The Micro is used to transfer 25 wafers between cassettes and/or an open bottom boat. The wafers are lifted up out of the first cassette and held in the combs while the operator changes to the new cassette. Then the wafers are lowered into the second cassette or boat. At only 10 inches(225mm) wide the Micro can fit almost anywhere. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifi
AMAT Rorze FABS-202 Wafer Transfer Elevator Lifter Assy
Search our eBay Store! AMAT Rorze FABS-202 Wafer Transfer Elevator Lifter Assy SKU: HS-TER-C-FABSELEVATOR Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge AMAT Applied Materials Rorze FABS-202 Wafer Transfer Elevator Assembly ELEVATOR ASSEMBLY WAS PULLED FROM A RORZE(MODEL: FABS-202/TYPE: 1VRR8151-004-001) WAFER TRANSER SYSTEM. Model: NA. Physical Condition: Good; minor scuffs/scratches. Due to a lack of resources. We were unable to test this unit. Assembly Includes: 1x Rorze RD-023MS 2P Micro Step Driver. 1x Rorze RC-233 Generate Master. 1x Rorze RC-204A I/O Master. 1x Rorze RS-114A Sensor Mate. ... more1x Rorze/Sanyo Denki RM26A3S 1.8° Stepper Motor. Overall Dimensions(L"W"H" 12 3/4* 8 1/4* 15. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bu
Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
Search our eBay Store! Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing SKU: HS-TER-C-NOVSHOWER Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge New Page 1 Novellus 16-156335-00 200mm Stainless Steel Shower Head Assembly for Wafer Processing PLEASE NOTE: WE BELIEVE THAT THIS UNIT FUNCTIONS AS A SHOWER HEAD. HOWEVER WE ARE UNCERTAIN. THIS UNIT DOES NOT APPEAR TO BE COMPLETE. THE ACTUAL SHOWER HEAD NOZZLE APPEARS TO BE MISSING. THE PART NUMBER 16-156335-00 IS INSCRIBED INTO THE UNIT. P/N: 16-156335-00 Physical Condition: Excellent. We do not have the necessary resources ... moreto test this unit. Overall Dimensions(Diameter” x Height" 8 3/16 x 25. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combin
Novellus Varian RF Interface Matching Network Controller Thin Film Systems 2U
Search our eBay Store! Novellus Varian RF Interface Matching Network Controller Thin Film Systems 2U SKU: JV-JIM-C-RFCNTRLR Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Novellus Varian RF Interface Controller/Matching Network Controller 2U Rackmount Front panel and back panel labels may differ however all units that we have appear to be exactly the same internally and with respect to connectors and controls. Input Rating: 117V AC Physical Condition: Good; some scratches and scuffs. One of the digital output connectors has a broken locking latch(pins are not bent. Should not affect connection) ... moreUnit powers on. Power light comes on. Etch” light lights up. Self Bias display lights up(displays“+001” Sys” light comes on when toggled. RF ON” comes on after a slight delay when toggled. Other buttons and indicators don’t appear to be active(this may be due to a lack of input) We do not have the necessary resources to further test this unit. Power cable is not included. Dimensions(L"W"H" 19* 14 1/2* 3 1/2 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you r
2460 Lot of 6 Silicone Carbide Cassette Boats (25 slots)
You are Buying a Used. Excellent Conditions. Sold As Is. Lot of 6 Silicone carbide Cassette Boats(25 slots) 0 0 1 18 103 North Texas Surplus 1 1 120 14.0 Normal 0 false false false EN-US JA X-NONE Slots: 25 Silicone Carbide 2460 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge for freight will ... morebe determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
High Vacuum Feedthrough Collar, Aluminum
| | | | | Features: Nominal 20.75" O.D. X 17" I.D. X 4.25" High 12 X Nominal 4" O.D. flange ports with nominal 2.25" diameter opening No blank off flanges are included 1 side is flat 1 side has o ring groove Included in Listing: 1 High Vacuum Feedthrough Collar. Aluminum Shipping Information: Shipped via UPS Ground Weight Approx: 33 lbs. | | | | |
MDC VARIAN VAT High Vacuum Growth Chamber Wafer MBE observation multi CF sizes
Vacuum Chamber that was used in a gas source MBE system. I recently removed all components and have each item listed separately. This auction is for everything shown. Multi sizes of flanges, most are rotateable CF style. The largest flange is 8" Has some observation ports. Removeable lid is over the center of the chamber, wafer location. If you need a measurement please just email me. FREE SHIPPING!
Comdel CX-1250S, FP3215RA, AMAT 0190-01183 1250W RF 13.56 MHz, 208V 3 Phase 10A
Comdel CX-1250S RF Generator FP3215RA- 1250W. 13.56 MHz 208V. 3-Phase, 10A AMAT 0190-01183 THIS AUCTION IS FOR A COMDEL CX-1250S 13.56 MHz 1250W RF Generator. Water cooled. RF output: 13.56 MHz. 1250W, 250 vrms. 50 ohm output. 208V, 3 Ph, 50/60 Hz, CE. 50025. WE HAVE TWO UNITS AVAILABLE, BOTH HAVE BEEN POWERED UP AND ARE OPERATIONAL.
Fluoroware STAT PRO 1000 A182-60MB Process Cassette for 6" Round Substrates
Fluoroware 6" Carrier Cassette for Wet Processing Description Fluoroware(now Entegris) Part No. A182-60MB Carrier Cassette for 6" Round Wafers or other Substrates. 25-Slots for processing up to 25 Square Silicon Wafers or other Substrates. STAT PRO 1000 construction(Black PFA mix) for immersion in acids. DI Water. Condition Excellent condition with some microscratches. No discoloration. Specifications Weight: Approx. 4 Lbs. Items ship within 5-Days of payment not including weekends. See additional checkout instructions for Shipping& Handling fees.
Kaijo HI-MEGASONIC-900 7848 900W 950KHz Ultrasonic Generator 200VAC
Search our eBay Store! Kaijo HI-MEGASONIC-900 7848 900W 950KHz Ultrasonic Generator 200VAC SKU: JV-JIM-C-KAIJO900 Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Kaijo HI MEGASONIC 900 900W 950KHz Ultrasonic Generator Model: Hi Megasonic 900 Type: 7848 200VAC; 10A; 1PH Physical Condition: Good; some scuffs and scratches. Power knob has multiple scratches on it. Unit powers on. Main” indicator light comes on. Min-Max shows all green bars. Power knob does not seem to affect settings. We do not have the necessary resources to further test this unit. Dimensions(L"W"H" 22 3/4* 15 3/4* ... more6 5/8 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be a
Iwaki Hicera V-05ZES-2 Valveless Compact Metering Pump V-Series 0.100mL Capacity
Search our eBay Store! Iwaki Hicera V-05ZES-2 Valveless Compact Metering Pump V-Series 0.100mL Capacity SKU: JV-RYA-B-V0100VZ Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Iwaki Hicera V-05ZES-2 Valveless Compact Metering Pump V-Series 0.100mL Capacity. Model: V-05ZES-2. Physical Condition: Very Good. We do not have the necessary resources in our facility to test this unit. Dimensions(L" W" H" 3* 2 ¼* 6. For more information about this product. Please visit the following link(for informational purposes only) PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT ... moreREQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If
Parker Bayside GR090-X1A1X-001 Right Angle Gearmotor GR090 For Wafer Polisher
Search our eBay Store! Parker Bayside GR090-X1A1X-001 Right Angle Gearmotor GR090 For Wafer Polisher SKU: JV-BIN-B-GR090PAR Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge New Page 1 Parker Bayside GR090-X1A1X-001 Right Angle Gearmotor GR090 For Wafer Polisher Model: GR090-X1A1X-001 Physical Condition: Good. Scuff marks and scratches on unit. We do not have the necessary resources to test this unit. Dimensions(L”W”H” 12 ½* 3 ½* 6 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The ... moresale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us before leaving
AMAT 0629-01119 Harness & Grandville-Phillips 275-262 Convectron Gauge
Applied Materials 0629-01119 Convectron Gauge Harness and Grandville-Phillips 275-262 Convectron Gauge Tube. Guaranteed not DOA. Check out my! M1A1E.
Comdel ESC-1/FP Electrostatic Chuck Voltage Source Power Supply +/-500V 1kV
Search our eBay Store! Comdel ESC-1/FP Electrostatic Chuck Voltage Source Power Supply +/500V 1kV SKU: JV-HAN-C-ESC1FP Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Comdel ESC-1/FP Electrostatic Chuck Voltage Source Power Supply +/500V 1kV. Model: ESC-1/FP. Input Requirements: 24V dc or ac; 1Adc Max(1.4 Arms) Output to input isolation: 500 Meg ohm. Output voltage/current: +/ 500 VDC(available to +/ 1000 VDC) at 0.5mA(available to 5mA) Physical Condition: Good- Minor scuffs/scratches on exterior housing. Functionality/Degree of Testing: We do not have the necessary resources to test this unit. ... morePower adapter is not included. Dimensions(L" x W" x H" 7-3/8 x 9-1/2 x 3-1/2 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact
USA MADE ANODIZING COPPER NICKEL GOLD ZINC PLATINUM HULL CELL PLATING RECTIFIER
PLEASE CALL FREE 800-544-3746! LIVE HELP EXPERT ADVICE TECHNICAL SUPPORT 800-544-3746! PLEASE VISIT OUR EBAY STORE. FILLED WITH HUNDREDS OF UNIQUE AND USEFUL ITEMS! CLICK HERE TO GO DIRECTLY TO THE STORE SCROLL DOWN FOR IMPORTANT ITEM INFORMATION USA MADE ANODIZING COPPER NICKEL GOLD ZINC PLATINUM HULL CELL PLATING RECTIFIER PLEASE CLICK ON PHOTOS FOR LARGER VIEW SCROLL DOWN FOR IMPORTANT ITEM INFORMATION PLEASE READ ALL OF THE FOLLOWING TEXT BEFORE PURCHASING. IF YOU NEED MORE INFORMATION, PLEASE CALL ME AT MY TOLL FREE NUMBER 800-544-3746 9:00AM TO 6:00PM PST MONDAY- FRIDAY AND I WILL BE HAPPY TO ANSWER ANY OF YOUR QUESTIONS. YOUR PURCHASE IS FOR A FULLY REFURBISHED. TESTED AND GUARANTEED, AMERICAN MADE, LAMBDA BRAND, MODEL LA-200, 0-16.5 VOLTS@ 0-4 AMPS ELECTRO PLATING/ BENCH/ LAB, REGULATED, VARIABLE DC POWER SUPPLY(RECTIFIER) WITH ANALOG ... moreVOLT/ AMP METERING, WORKING PERFECTLY AND IN EXCELLENT CONDITION(SEE ALL OF THE PHOTOS FOR DETAILS, NOTE: MAY VARY SLIGHTLY FROM PHOTOS) IMPORTANT NOTE: THIS IS A TOP QUALITY. AMERICAN MADE PRODUCT. NOT A POORLY MADE COPY FROM CHINA! WE SELL THE HIGHEST QUALITY PRECISION REGULATED DC LABORATORY PLATING(RECTIFIER) POWER SUPPLIES FROM U.S. COMPANIES THAT ARE BUILT TO LAST A LIFETIME! PLEASE CALL 800-544-3746 OR VISIT OUR EBAY STORE TO SEE OUR OTHER PLATING RECTIFIERS. POWER SUPPLIES AND MANY OTHER PRODUCTS! IMPORTANT INFORMATION: WE OPERATE A PROFESSIONAL FACILITY THAT EXPERTLY REBUILDS THESE DC LABORATORY ELECTRO PLATING POWER SUPPLIES TO MEET ORIGINAL FACTORY SPECIFICATIONS. EACH POWER SUPPLY IS DISASSEMBLED. INSPECTED, TESTED, AND ANY REQUIRED ELECTRICAL OR MECHANICAL PARTS ARE REPAIRED OR REPLACED, THE ENTIRE UNIT IS CLEANED, AND IF NEEDED, PROFESSIONALLY RE-PAINTED! AFTER CAREFUL RE-ASSEMBLY. EVERY POWER SUPPLY IS FULLY TESTED FOR ALL FUNCTIONS AT THE MAXIMUM RATED LOAD IN OUR FULLY EQUIPPED TEST LAB! THIS FULLY REGULATED AND PRECISION POWER SUPPLY IS VASTLY SUPERIOR TO SIMPLE ELECTRO PLATING"RECTIFIERS" BECAUSE IT IS ACCURATELY CONTROLLABLE YIELDING
ENI OEM 12A-6 650 Watt, 13.56Mhz, RF Generator, WORKS
ENI OEM-12A-6 S/N 1180 650 Watts@ 13.56 Mhz Tested good to 600 watts Quoted shipping price is for lower 48 US states only. Logan Technologies. LP 254-773-4070