Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
3510.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: TAS300. The physical condition is good, but there are signs of previous use and handling. Model No: Type G1A.
$
99.00
Buy It Now
Free Shipping
Condition: New
Location: Hayward, United States
$
55.99
Buy It Now
$13.72 Shipping
Condition: New
Location: Dallas, United States
Applied Materials AMAT 0240-45194 Top Baffle Kit 99.5 ALO 200MM Ultima HDP CVD. -Applied Materials -AMAT -0240-45194 -Top Baffle Kit -99.5 ALO -200MM ... more-Ultima -HDP CVD
$
99.99
Buy It Now
$10.00 Shipping
Condition: New
Location: Dallas, United States
Applied Materials AMAT 1410–1423 HEATER JACKET 30 MIL B LAYER UPPER ZONE 1. -Applied Materials -AMAT -1410–1423 -HEATER JACKET -30 MIL -B LAYER -UPPER ... moreZONE -1 Chamber
$
1004.24
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Semitool 810R0197-01 is used working surplus. The physical condition is good, but there are signs of previous use and handling. CLAMSHELL TFE 300MM ... more8000-14500-0230. Serial numbers or country of manufacture may vary.
$
2505.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary. Sale Details.
$
200.00
Buy It Now
$12.50 Shipping
Condition: Used
Location: San Jose, United States
$
8405.76
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Kawasaki 3NS004S-C001 300mm Wafer Aligner is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... morePart No: 3NS004S-C001.
$
1508.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection ... moreSystem. Part No: Wafer Prealigner. Serial numbers or country of manufacture may vary.
$
1508.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Nikon NWL 860 TNB-SP 200mm Wafer Inspection Loader NWL860. The physical condition is good, but there are signs of previous use and handling. ... moreModel No: Feeder Arm. Motor Part No: 25-48C1G.
$
500.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
A power cord is NOT included (it features a Hubbel 30A 3Ph 480VAC input jack). Is there a Dock or Forklift available?. It requires Three-Phase 380/480VAC ... morepower, which we cannot provide, so I have no way of powering it up or testing it, and it is being sold as-is.
$
449.00
Buy It Now
$14.00 Shipping
Condition: New
Location: Petaluma, United States
For nitrogen Gas. The most important part of a sale is getting the product to you in good condition.
$
280.00
Buy It Now
$17.50 Shipping
Condition: Used
Location: San Jose, United States
P/N 305363.
$
599.95
Buy It Now
Free Shipping
Condition: For parts or not working
Location: West Sacramento, United States
We work hard to include a lot of pictures of each item. We take joy in helping you get the gear you want at the price you need.
$
2004.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0040-09002 Etch Chamber Body and Base is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. Serial numbers or country of manufacture may vary.
$
1002.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AceCo S33-778 150mm Pinned Shower Head Novellus Systems is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. SHOWERHEAD, 150MM, PINNED. Manufacturer Refurbished.
$
901.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0020-04172 P5000 EXT Cathode Base Insert is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. AMAT Applied Materials Precision 5000 P5000 CVD Cluster Tool.
$
808.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Questions? Call us: 1-877-328-9236. Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000. Manufacturer: Applied Materials AMAT ... moreModel: 0010-10033 Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Applied Materials AMAT Condition: Used. Comes in non-original packaging. This wafer lift is in great condition with a few minor scuffs and scratches from normal use. But nothing major or anything that would affect function. Notes: This wafer lift was pulled from a working machine that was being decommissioned. But was not tested separately after removal. No manuals, cords/cables, or additional items are included if not listed or shown. Included: 1) Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop for Precision 5000, Specifications: Manufacturer: Applied Materials(AMAT) AMAT Part# 0010-10033, Description: Waferlift Ceramic Hoop, For Use With: AMAT Precision 5000 Machines, Weight: 5.80 lbs. Condition: Used, Quantity: 1, SKU: N15P021 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may no
$
2505.22
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
This RECIF Technologies VMT8 200mm Vertical Wafer Transfer Sorter is used untested surplus and is being sold as-is. The unit was powered on for testing ... morebut the unit requires a password to operate the system, no password was included so no further teasting could be completed.
$
630.29
Buy It Now
$33.44 Shipping
Condition: For parts or not working
Location: Leander, United States
Manufacturer:Integrated Designs IDI. (1) IDI 312 Pump. Condition:For Parts or Not Working. Dispense pump. Manufacturer: Integrated Designs. Notes:We are ... morenot able to test this pump. This is being listed AS/IS.
$
5508.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Model No: IFBS. The unit appears to be mostly complete but may be missing covers (see photos). The physical condition is good, but there are signs of ... moreprevious use and handling. Serial numbers or country of manufacture may vary.
$
200.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. It powers up, and ... morethe digital display is bright and clear, but it reports a Cable Interlock Fault, as shown.
$
1509.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Novellus Systems 15-01023-00 200mm Copper RF Distribution Plate Set of 3 is used working surplus. The physical condition is good, but there are signs ... moreof previous use and handling. PLATE, RF DIST, COPPER, 200MM.
$
3510.21
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
The physical condition is good, but there may be signs of previous use and handling. Part No: 4297001. Manufacturer Refurbished.
$
4903.66
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Verteq Superclean 1600 Dual Spin Rinse Dryer is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... morePart No: SUPERCLEAN 1600. Serial numbers or country of manufacture may vary.
$
3512.23
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Taiyo Machinery 1201 8" LED Wafer Mapping Sensor 200mm is new surplus. The physical condition is great. Part No: 1201. Model No: 8" MAPPING SENSOR. ... more(CT) 8" MAPPING SENSOR (LED).
$
2503.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Lam Research 02-335821-00 Heater Pedestal PED Assembly is used working surplus. The unit was tested for resistance (see photos). The physical condition ... moreis good, but there are signs of previous use and handling.
$
404.22
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Part No: H5163001. The physical condition is great. SHIELD,SLIT,GRAPHITE.
$
1000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
$
2509.21
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
Part No: 108553003. This Varian Ion Implant Systems is used untested surplus and is being sold as-is. The physical condition is good, but there are signs ... moreof previous use and handling. Item Condition: Untested, Sold As-Is.
$
709.22
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
This Novellus Systems 15-00701-00 150mm Bottom Fork Retainer Plate is manufacturer refurbished surplus. The physical condition is good, but there may ... morebe signs of previous use and handling. Manufacturer Refurbished.
$
120.00
Buy It Now
$17.50 Shipping
Condition: Used
Location: San Jose, United States
Swagelok 44X Series Valves SS-44XLVCR8-1447.
$
2253.60
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. ASSY,HEATER,200 MM PINNED. Serial numbers or country of manufacture ... moremay vary.
$
10000.00
Buy It Now
Condition: Used
Location: Dallas, United States
Used Equipment Sales. LLC 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Office: 505.345.8732 Fax: 505.345.8730 Office ... moreHours: Monday- Friday: 8:00 AM- 5:00 PM Mountain Standard Time(MST) AMAT Mirra 300mm Titan Head 0010-29563 rebuilt Inventory# 6265 This Applied Materials Titan Head is rebuilt. Functional surplus. The physical condition is good and clean, but there are some signs of prior use. Specifications: Model No: 0010-29563 300mm profiler head Sale Details: Condition: Rebuilt Estimated Packed Shipping Dimensions(does not reflect multiple item orders) L x W x H = 20 in. x 20 in. x 11 in. 30 lbs* PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See International Shipping Details Below) Only items pictured are included- If a part is not pictured. Or mentioned in the description, then it is not included in the sale. Pictured test equipment is not included. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Return Policy below for details) I ALSO BUY SEMICONDUCTOR SURPLUS. CALL ME AT 505-228-6568 OR EMAIL ME sales@usedeqsales.com Thank You. Paul Robledo HOURS OF OPERATION: Office Hours: Monday- Friday: 8:00 AM- 5:00 PM Mountain Standard Time(MST) Warehouse Delivery Hours: 8:30 AM- 4:00 PM Mountain Standard Time(MST) We are closed Saturday. Sunday and all major US holidays. Location visits by appointment only. SALE TERMS AND CONDITIONS: Only the pictured items are included in the listing. If it is not pictured or mentioned in the description it is not included. Place a bid only if you are serious about purchasing the item. If we(the sellers) are unable to establish contact with the winning bidder within 7 days of the end of the auction or if payment is not received within 14 days. The item(s) will be relisted at our discretion. PAYMENT INFORMATION: Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven
$
3500.00
Buy It Now
$95.28 Shipping
Condition: Used
Location: Morgan Hill, United States
Condition: New. The photos were from the real parts. Not tested. We sell it at AS Is , where is, no return. No warranty. Please double check the photos ... morebefore you buy this item. Appreciate your time!Photos are for all and the price is for one piece only. Thanks!!!Pls contact us if you have any questions regarding to ElectroGlas EG 1034 EG 2001 EG 2010 EG 3001 EG 4080 EG 4085 EG 4090 EG 4090u+ wafer prober. Appreciate your time.
$
1009.23
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
EESI Part No: 2900-11175. This AMAT Applied Materials 0200-09027 Adapter Pumping Plate is new surplus. The physical condition is great. Model No: ADAPTER, ... morePUMPING PLATE #6. Serial numbers or country of manufacture may vary.
$
1608.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. LINER,CHAMBER,CLAMP LID. Serial numbers or country of manufacture may ... morevary.
$
2008.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Nikon NWL 860 TNB-SP 200mm Wafer Inspection Loader NWL860. This Nikon NWL860 200mm Buffer Syringe Back Side Edge Macro Inspection Chuck ... moreis used working surplus. The physical condition is good, but there are signs of previous use and handling.
$
50.00
Buy It Now
$12.50 Shipping
Condition: Used
Location: San Jose, United States
Location: S-Middle.
$
7800.00
Buy It Now
$181.90 Shipping
Condition: Used
Location: Claymont, United States
$
20000.00
Buy It Now
Condition: Seller refurbished
Location: North Billerica, United States
$
847.49
Buy It Now
$29.99 Shipping
Condition: Used
Location: Cedar Hill, United States
This item is to be installed and maintained by qualified individuals. We claim no expertise in the use of this item. We accept no responsibility on the ... morecorrect/incorrect application or installation of this item.
$
2250.00
Buy It Now
$108.06 Shipping
Condition: Used
Location: Bosque Farms, United States
DECEMBER 2023. We do not have power cords to provide unless they are attached to the item or unless stated that they are provided. If one is pictured ... moreit is for testing purposes only unless it is attached or stated that it will be included.
$
995.00
Buy It Now
Condition: For parts or not working
Location: North Billerica, United States
$
3009.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Mattson Technology 177-00000-00 Wafer Cassette Platform Lot of 2 is used untested surplus and is being sold as-is. The physical condition is good ... moreand there are visible signs of previous use and handling.
$
194.99
Buy It Now
$13.74 Shipping
Condition: New
Location: Dallas, United States
Applied Materials AMAT 0020-31492 Gas Distribution Plate, 101 Holes. -Applied Materials -AMAT -0020-31492 -Gas -Distribution Plate, -101 Holes
$
510.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. TUBE ASSY, HOOD. Serial numbers or country of manufacture may vary.
$
21945.00
Buy It Now
Condition: Seller refurbished
Location: North Billerica, United States
SEMIAUTOMATIC STEPPING, REPEATS LAST STEP FOR FASTER PICK/PLACE OPERATION. A45/DE35i SEMIAUTOMATIC PICK AND PLACE SYSTEM.
$
80.00
Buy It Now
$14.50 Shipping
Condition: New
Location: Austin, United States
Brand new in plastic.
$
3009.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Mattson Technology 177-00000-00 Wafer Cassette Nest Platform Lot of 2 is used untested surplus and is being sold as-is. The physical condition is ... moregood and there are visible signs of previous use and handling.
$
50.00
Buy It Now
$8.85 Shipping
Condition: New
Location: Brentwood, United States
Pneumatic Cylinder. SS046DXPW (76).
$
111.47
Buy It Now
$15.00 Shipping
Condition: New – Open box
Location: West Valley City, United States
Location: 6 E 4 brown box "Casa". Condition: This is a "new other" item. Item has not been used or installed. Item is mint and complete. Product was never ... moreused or installed. We do not specialize in this product.
$
2501.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Daifuku 300mm Wafer Transport is used working surplus. The physical condition is good, but there are signs of previous use and handling. Model No: ... more300mm Wafer Transport. Panasonic AC Servo Drive Part No: MADDT1207N52 (Qty. ).
$
909.23
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Part No: 2301799. The physical condition is great. Model No: BODY, LOWER ELECTRODE 125MM.
$
611.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Part No: F9894001. The physical condition is great. DIFFERENTIAL PUMP TUBE. Serial numbers or country of manufacture may vary.
$
4209.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The unit has a large scratch and dent to the upper plate cover, scuffs to the upper surfaces, and the PCB panel is not screwed down, and is missing the ... morefront cover and viewport (see photos). The physical condition is good and there are visible signs of previous use and handling.
$
475.00
Buy It Now
Free Shipping
Condition: Used
Location: San Jose, United States
AMAT Applied Materials 300mm Blocker Plate. INV# 13I1000-2-24. Parts are hand marked and Serial Numbers Recorded to prevent fraud.
$
1212.23
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great.
$
300.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Model Number: 10365.
$
2750.00
Buy It Now
Free Shipping
Condition: Used
Location: Sunnyvale, United States
This listing is for a high-quality APPLIED MATERIALS/AMAT/AKT/ADVANCED ENERGY/MFC INLET STICK ASSY with part number 0050-87805. The product has a weight ... moreof 0.62 kg and comes in a package with a weight of 2.62 kg. It belongs to the category of Wafer Processing Components, which falls under the larger category of Semiconductor & PCB Manufacturing Equipment, CNC, Metalworking & Manufacturing, and Business & Industrial. The brand of this product is APPLIED MATERIALS. Please note that this product listing does not include any information about the condition of the item. Please refer to the pictures and contact us with any questions or concerns you may have about the product before making a purchase. Thank you for your interest in our listing.
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
 In 

Former Listings  
 
PAIR - BROOKS VCE (VACUUM CASSETTE ELEVATOR FOR LAM ALLIANCE 001-9010-01
BROOKS VCE(VACUUM CASSETTE ELEVATOR FOR LAM ALLIANCE 001-9010-01 THE PAIR IS PRICED AT 5k or BEST OFFER. PLEASE CONTACT FOR ANY QUESTIONS OR ADDITIONAL PICTURES. BUYER RESPONSIBLE FOR ALL SHIPPING FEE'S INCLUDING ANY DUTIES AND/OR ANY SPECIAL CRATING NEEDS FOR SHIPPING OUTSIDE OF THE US
Applied Materials 0010-38027 BWCVD Throttle Valve Assy,100, 125 & 150M
Applied Materials 0010-38027 BWCVD Throttle Valve Assy. 100, 125& 150M Very clean. Check out my! M2A2C.
SCHUMACHER ATCS-15 TLC.P/N NO.1463-0003E TESTED,AND EXCELLENT WORKING CONDITION
THIS ITEM IS VERY NICE. NEW ACU FANS. P/N NO. 1463- 0003E/F/D/A. UNIT NO. AT1295134. TESTED IN EXCELLENT WORKING CONDITION.TEMP RANGE 10- 30 C DEGREE.
Empak 300mm Wafer Handler Carrier FOUP HS300 XS300
SEARCH OUR PRODUCTS Empak 300mm Wafer Handler Carrier FOUP HS300 XS300 Product Description This is a used Empak 300mm Wafer Handler Carrier. This item is in good overall physical condition. It has some minor scratches along with some sticker residue. The photos are of a typical item. Only what is pictured is included. Description: 300mm CrystalPak Entegris/ HS300 lid and base with a XS300 Cassette SKU: J37E007 Warranty Information The item/s in this auction are being sold AS-IS with no warranty. No refunds, no returns. Please bid accordingly. Contact Info Business Hours- toll free: 877) 328-9236- local: 512) 933-0081- fax: 512)873-0745 Monday to Friday from 8:30 am to 5:30 pm CST Closed on Saturday and Sunday Company Policies PayPal Must ship to a confirmed address, Visa/MasterCard/Discover/Amex US and Canadian issued cards only. Must ship ... moreto the billing address of the credit card. Orders over $1.000 require a signed. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. We do not allow the buyer to arrange their own shipping. Shipment must be arranged through us and will be prepaid to us by the buyer. The buyer is responsible for any customs. Duties, taxes, broker fees, etc that are incurred. We do not know what these fees are and have no way to calculate them. You will need to speak to your local customs office if you have questions about these fees. All UPS Standard to Canada shipments incur UPS brokerage fees. Some items shipped UPS Expedited/Express receive free brokerage services. We strongly recommend the buyer contact UPS to find what the fees are prior to purchase. We will not mark items as gifts. We mark the item price as the declared value. The item/s in this listing are being sold AS-IS(NO warranty) There are no returns. Refunds, or exchanges. If you are not comfortable buying something withou
Headway Research PM80 Wafer Spin Cleaner - Missing FootSwitch
Headway Research PM80 Wafer Spin Cleaner- Missing FootSwitch Description Headway Research PM80 Wafer Spin Cleaner- Missing FootSwitch AS-IS Cracked plastic on 1 corner of basin Bent metal on side of unit Does not include dispense arm or nozzle assembly Black rubber around electrical cord is splitting open in several places Manufacturer Headway Research Manufacturer Part Number PM80 Item/Ship Weight 18 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision ... morenumbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping We will professionally package. Using instapak foam-in-place if needed, and insure this product for safe delivery. Domestic shipping rates are available using the shipping calculator below, subject to terms& conditions. Please contact us for international shipping rates. Local pickup is encouraged for which all shipping and handling fees will be waived PID 45226 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package is too large to qualify. As such. We may need to contact you before shipp
Mactronix 3" Wafer Flat Finder T16-300
Camjan LLC. Image Gallery Click Images to Enlarge Full-Size Image Full-Size Image Full-Size Image Full-Size Image Full-Size Image Full-Size Image Description Up for sale is a used Mactronix 3" Wafer Flat Finder Model# T16-300 Serial# 971014A783-3 Comes with all in pictures From Working Environment Please look at all pictures to ensure accuracy. Any questions? Please ask! Thanks and Good Luck! Can't test. Sold AS/IS Up for auction is a used Mactronix 3" Wafer Flat Finder Model# T16-300 Serial# 971014A783-3 Comes with all in pictures From Working Environment Please look at all pictures to ensure accuracy. Any questions? Please ask! Thanks and Good Luck! Information We do not have the capacity to test the equipment. That is why we are selling it"AS-IS" w/o any warranties We will continue to fully disclose any defects ... moreor deficiencies known to us on all of the items listed on auction. For those items stated as"powers on" we will accept returns on D.O.A. Dead On Arrival) units ONLY. Upon return all security seals must be intact on Equipment. The buyer will be responsible for any additional charges incurred including shipping and handling. Please Take the time to check your item Immediately after receiving. Terms and Conditions(please read before you bid) This item is USED. unless specified in item description) I DO NOT provide support. Software, manuals, or service(unless specified) Buyer agrees his/her complete knowledge on the use and operation of the device is bidding/acquiring/buying etc. and assumes responsibility for the proper use of item/s purchased from seller and holds seller free of any liability for injury, loss or death resulting from use or misuse. We do not guarantee the completeness or accuracy of the item specifications/features/capability description since most of the descriptions are taken from the internet and the manufacturer may change. Modify or update this product. Please research product before bidding. Your bidding on this item is your agreement and acceptance
Lot of 102: Entegris H92-7015PL Pozzetta PH 700 150 Reticle Covers Wafer Handli
102 Piece Lot of Entegris H92-7015PL Pozzetta PH 700 150 Reticle Covers CONDITION:Used ~ 98 lbs. Total in 2 Boxes SHIPPING TO LOWER 48 $160(Less If Nearby) Advise Local Pickup ALL OTHERS EMAIL FOR SHIPPING COST. Also Available for local pick up at our office in Hayward If you have questions or concerns. Please email us, or call Bruce at 510-784-1111. We're here Monday-Friday. 8 am- 5 pm PST, and at other times by chance! If it's Not Shown in the Photo(s) it's Not Included! Note Shipping to Buyer is Not Refunded on Returns! Please Note That Standard Shipping is UPS Ground Which May Take Five to Seven(5-7) Days in Transit! Thanks for Shopping! 31A-SM-EU 4790W Froo www.froo.com | Froo Cross Sell. Free Cross Sell, Cross promote, eBay Marketing, eBay listing Apps, eBay Apps, eBay Application.
84 Piece of Reticle Covers / Spring Loaded Cassettes of Unknown Manufacturer
84 Piece Lot of Reticle Covers Spring Loaded Cassettes of Unknown Manufacturer CONDITION:Used ~ 107 lbs. SHIPPING TO LOWER 48 $115(Less If Nearby) Advise Local Pickup ALL OTHERS EMAIL FOR SHIPPING COST. Also Available for local pick up at our office in Hayward If you have questions or concerns. Please email us, or call Bruce at 510-784-1111. We're here Monday-Friday. 8 am- 5 pm PST, and at other times by chance! If it's Not Shown in the Photo(s) it's Not Included! Note Shipping to Buyer is Not Refunded on Returns! Please Note That Standard Shipping is UPS Ground Which May Take Five to Seven(5-7) Days in Transit! Thanks for Shopping! 31A-SM-EU 4791W Froo www.froo.com | Froo Cross Sell. Free Cross Sell, Cross promote, eBay Marketing, eBay listing Apps, eBay Apps, eBay Application.
Laurier DS6000-8 DS-6000 6" 150mm Wafer Chip Die Sorter
Questions? Call us: 1-877-328-9236. Laurier DS6000-8 DS-6000 6" 150mm Wafer Chip Die Sorter. Manufacturer: Laurier Model: DS-6000-8 Condition: For Parts or Not Working Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Laurier Condition: For Parts or Not Working. Cosmetic condition is Good with lots of minor blemishes from use. A few cover panels are loose or missing. The Cognex 1500 has the front panel off and only one card is present inside. Notes: We applied power and the arm in between the cameras rotated a few degrees and stayed in position. The right monitor came on and gave a"No boot device available" error. The illuminators for the cameras both adjust from dim to bright light. The camera system never gave any video on the screen. Without software to run the machine. No further testing could ... morebe done. No manuals, cords/cables, or additional items are included if not listed or shown. Included: 1) Laurier DS6000-8 Wafer Chip Die Sorter, Specifications: Manufacturer: Laurier, Model: DS-6000-8, Die sorter, For up to 6" wafers, Dual cameras, Camera lenses: Optem Zoom 65, Cameras: Panasonic WV-BL202, Power: 208V. 5A, 50/60Hz, Plus air& vacuum, SKU: L35D002 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. S
Wentworth Labs Manual Prober Station with 6" Temperature Controlled Wafer Chuck
You are currently bidding on: Wentworth Labs Manual Prober Station with 6" Temeprature Controlled Chuck- Great Condition Key Features: 6" Dia. Temperature Controlled Chuck Vacuum Hold Down Stage for 6" Wafer Stage Travel 6" x 8" Accommodates up to eight vacuum or magnetic probe manipulators or a probe card Bausch and Lomb Microscope with light source*This sale includes the shown components ONLY. No other unseen parts or accessories will be included. Note: Payment must be received in seven(7) days from close of sale or item will be relisted. Thank you for your time and happy shopping.
2005 GSI Lumonics M435 Wafer Laser Repair System
4) Very Nice GSI Lumonics M435 Laser Repair Systems For Sale. Machines are in perfect condition and have been in clean room environment! This is a great opportunity to purchase these machines at Super Cost Savings! See attached pictures and information. SYSTEM. GSI Lumoincs. Model Number: M435. Year 2004-2005 LASER IPG Fiber type laser 1.065um wavelength 1.5um spot size 50.000Hz repetition rate(approximately 8,350 laser hours used) WAFER HANDLER 2 x 300mm cassettes@ 25 wafers/cassette Wafer sensing: presence, absence, cross/double-slotted, protruding Wafer ID: top/bottom OCR, 2D matrix and barcode recognition COMPUTER SUN Blade UNIX operation system Software version R9.8(licensing may be required for software/ firmware) STANDARDS CE Mark SEMI S8-1000, S2-0200 CDRH Buyer is responsible for all shipping charges. We can professional package. ... moreVacuum pack, and crate for shipping transport. Please let us know if you have any questions or concerns. Contact 978-790-2774 Thanks and have a nice day! 336.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3E336-13ef19ce3d7-0xfd-
Accretech Win-Win 50 1600L Brightfield Inspection System
Accretech Win-Win 50 1600L Brightfield Inspection System• Currently Configured for 200mm and 300mm Wafer Sizes• System was Upgraded to 1600L from 1400L• Cassette Interface: o x1 300mm FOUP o x1 300mm Open Foup/ 200mm Open Cassette• Status Lamp• CE Marked• Power Requirements: V 200. 3-Phase, 50/60 H We believe this tool to be complete and in GREAT condition! It is not necessarily a parts tool at all! Happy to answer questions. Please ask. BUYER PAYS FOR CRATING AND SHIPPING* Thank you! c2g3.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*5f%3Ec2g3-13ef19ce68f-0xff-
Tazmo ULS Wafer Transfer Robot Handler Handling Station w/Power Supply
Search our eBay Store! Tazmo ULS Wafer Transfer Robot Handler Handling Station w/Power Supply SKU: JV-DAN-C-ULS2AXWTHPS Condition: For parts or not working Packaging: Pallet/Skid Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge New Page 1 Tazmo ULS Wafer Transfer Robot Handling Station w/Power Supply PLEASE NOTE: THIS UNIT APPEARS TO BE INCOMPLETE. BEING SOLD AS-IS FOR PARTS OR REPAIR. Model: ULS 100VAC; 5A; 50/60Hz; 1PH. Physical Condition: Unit is in good physical condition. Cosmetic scuffs/scratches/dings to protective casing on the lower front panel. Panels are missing a few screws. All other panels are in great condition. ... moreTransfer arm is in excellent condition. We do not have the necessary resources to test this unit. Dimensions(L" x W" x H" 21 7/8 x 37 ¼ x 47 ¼ Weight: 168 lbs. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all
Rigaku 3620 X-Ray XRF spectrometer Wafer/Disk Analyzer gold pt alloy EDXRF solar
RIGAKU 3620 XRF ANALYZER REMOVED IN WORKING ORDER sold as-is COMES WITH COMPUTER SYSTEM. XRF, CABLES, TRANSFORMER, HEAT EXCHANGER. MANUALS. ETC. FEEL FREE TO ASK ANY QUESTIONS IT IS AVAILABLE FOR INSPECTION AT OUR ANTIGO. WI WAREHOUSE HAPPY BIDDING CALL 715-610-2402 WITH ANY QUESTIONS. PLEASE NOTE WE DO NOT SHIP ON WEEKENDS. Dan Newman. Attn: eBay Sales. W10080 Cty Hwy B. Deerbrook. WI 54424. Payment for all items is due within 3 days of the auctions ending date. Unless you contact me via email to ask for additional time prior to bidding. Shipping Information: SHIPPING AND INSURANCE. Shipping quote is based on the actual rates. palletized items are charged $25 for pallet. Shrink wrap, banding, and packaging supplies. SHIPPING DESTINATIONS. We are happy to ship WORLD-WIDE. COMBINE SHIPPING. We do offer combined shipping on multiple purchases ... morein order to save our customers a great deal of money. The process of combining shipments can be difficult because of the extreme variation of the products that we sell(which range vastly in size. Weight and fragility) We have developed a general system that usually works to everyone's satisfaction. After you win multiple auctions Please email us for the new quote. LOCAL PICK UP. All customers are welcome to pick up their product in person at our Warehouse. Provided that prior arrangements with our eBay department have been made, which may include scheduling an appointment for your pickup. You will not be charged for shipping, however, there is a $2.00 handling fee for all purchases under $25.00. All local pick up customers will also be required to present a valid form of ID(drivers license or credit card) with the identical name that appears on their eBay account. This is so that no one else attempts to pickup your item. Please remember that any purchased product that is not picked up after 30 days will remain the property of MMT-ARS, LLC. Please remember, do not show up for your local pick up with out making prior arrangements through the eBay department
M8-KMC060804-01 Upper Chamber Body
Part Number: M8-KMC060804-01 Asset Number: A290319 Terms& Conditions. Shipping: Buyer pays for all shipping charges. We allow buyer to use their shipper’s account. If desired. Certain items may require palletizing or crating, this cost is assumed by the buyer. Return Policy: We offer a fourteen(14) day right of return unless otherwise stated in the listing. All returns must include all original undamaged items. In re-sealable condition with all original packing and documentation. Shipping and Handling fees are non-refundable and buyer is responsible for return item shipping cost. Payment: Payment is due in full within 3 business days. Items will not be released if funds are not received within this time frame and the right of return will be forfeited. Tax: A 9.3% sales tax applies to Arizona residents only or provide a resale tax I.D. ... morecertificate. We will not process the order until we receive sales tax or copy of tax certificate. Please note: Allow Seven Business days for checks to clear prior to shipping* All items are being sold as-is unless otherwise specified in the listing. By bidding on or purchasing items in our store. Buyer agrees to the terms stated on each listing as well as terms and conditions posted at. Payment Address: GES Associates. LLC. 39 West Hampton Ave. Mesa. AZ 85210 480-610-1604 Hours of Operation: Monday- Friday 8:00am– 5:00pm MST We pride ourselves on innovation. Flexibility, and performance- the keys to profitable results in this ever changing and dynamic marketplace. With our extensive line of state-of-the-art equipment and spare parts from leading industry manufacturers, our focused and results oriented sales team consistently creates satisfied customers worldwide. Powered by The free listing tool. List your items fast and easy and manage your active items. 1t.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bhpojt%3Af%3E1t-13ef3b25416-0xf6-
Applied Materials 0040-02544 DPS Metal Upper Chamber Body
Manufacturer: Applied Materials Part Number: 0040-02544 Asset Number: A28887 Terms& Conditions. Shipping: Buyer pays for all shipping charges. We allow buyer to use their shipper’s account. If desired. Certain items may require palletizing or crating, this cost is assumed by the buyer. Return Policy: We offer a fourteen(14) day right of return unless otherwise stated in the listing. All returns must include all original undamaged items. In re-sealable condition with all original packing and documentation. Shipping and Handling fees are non-refundable and buyer is responsible for return item shipping cost. Payment: Payment is due in full within 3 business days. Items will not be released if funds are not received within this time frame and the right of return will be forfeited. Tax: A 9.3% sales tax applies to Arizona residents only or ... moreprovide a resale tax I.D. certificate. We will not process the order until we receive sales tax or copy of tax certificate. Please note: Allow Seven Business days for checks to clear prior to shipping* All items are being sold as-is unless otherwise specified in the listing. By bidding on or purchasing items in our store. Buyer agrees to the terms stated on each listing as well as terms and conditions posted at. Payment Address: GES Associates. LLC. 39 West Hampton Ave. Mesa. AZ 85210 480-610-1604 Hours of Operation: Monday- Friday 8:00am– 5:00pm MST We pride ourselves on innovation. Flexibility, and performance- the keys to profitable results in this ever changing and dynamic marketplace. With our extensive line of state-of-the-art equipment and spare parts from leading industry manufacturers, our focused and results oriented sales team consistently creates satisfied customers worldwide. Powered by The free listing tool. List your items fast and easy and manage your active items.
Brooks / TAZMO TRANSFER ROBOT HS3344028 W/ALIGNER & POWER SUPPLY
Brooks/ TAZMO TRANSFER ROBOT MODEL: HS3344028 W/ALIGNER& POWER SUPPLY
Kammrath & Weiss GmbH contoller, & Guliver MPC 06/Z
Kammrath& Weiss GmbH Controller Micro Service GmbH Type Guliver MPC 06/Z used surplus Buy it Now We will make every effort to describe items as fairly and accurately as possible. We are not experts in every field and therefore cannot fully test all items for full functionality. If you recieve an item that is DOA or something that is not described accurately please call us as soon as possible and we will work something out. Thank you for your business. DESCRIPTION: This is a used Kammrath& Weiss Controller. This unit is in excllent condition. 14 day full refund warranty. If you have any questions feel free to call our store. at(845) 338-6191 ITEM CONDITION: 9 out of 10 SPECIFICATIONS: 1) microService GmbH, Guliver MPC 06/Z Kammrath& Weiss GmbH Controller, with cables(shown) SHIPPING: This item ships by USPS PRIORITY MAIL or Buyer ... moremay use their own shipping service. Flat fee cost is $40.00 for US locations. FAST SHIPPING! Item ships out day of payment. Will arrive in 2-3 business days. PAYMENT: PayPal is preferred payment method. WARRANTY: 14 day full refund warranty Thank You& Good Luck Bidding! Item# PT582(s) P&T Surplus Corp
TEL Tokyo Electron CPL Cool Plate Model 845 ACT12 300mm Used
TEL Tokyo Electron CPL Cool Plate Model 845 is used working surplus. Missing covers. The physical condition is good. But there are some minor scratches from previous use and handling. Part No: CPL Cool Plate Model No: 845 Pulled from an ACT 12 300mm coater developer Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 37"x25"x21" 50 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. ... more90-Day Satisfaction Guarantee(See Details Below) Lister 13 Can't find the answer you're looking for? contact us! Business Hours: 8am to 5pm MST Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30am to 4pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) A 7% Sales tax applies to NEW MEXICO residents(or provide a resale tax ID for our records. A 8.8% Sales tax applies to ARIZONA residents(or provide a resale tax ID for our records. We cannot process the order until we receive the NM or AZ sales tax or a copy of your tax ID. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may vary based
TEL Tokyo Electron TCP Transition Chill Plate ACT12 300mm Used
TEL Tokyo Electron TCP is used working surplus.The physical condition is good. But there are some minor scratches from previous use and handling. Part No: TCP Transition Chill Plate Model No: 848 Serial No: 11019 Pulled from an ACT 12 300mm coater developer Plate location left justified Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 36"x24"x14" 36 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the ... moreone that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 13 Can't find the answer you're looking for? contact us! Business Hours: 8am to 5pm MST Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30am to 4pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) A 7% Sales tax applies to NEW MEXICO residents(or provide a resale tax ID for our records. A 8.8% Sales tax applies to ARIZONA residents(or provide a resale tax ID for our records. We cannot process the order until we receive the NM or AZ sales tax or a copy of your tax ID. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling
G100376-P54 Electroglas HLM TALL High-Load Hinged Testhead Manipulator Arm
G100376-P54 Electroglas HLM TALL High-Load Hinged Testhead Manipulator Arm(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# G100376 This Electroglas HLM TALL High-Load Hinged Testhead Manipulator Arm appears to be in good cosmetic condition. Although there are a few small dings, scratches, signs of previous use. The power cable has been severed and will require a plug installed on the end of it. Please look at the photos. I have no way to power-up or fully test this item so I am obligated to list it as“Not Working” This sale does NOT include a power cord plug-end. Item comes exactly as shown in the photos above. No other accessories are included. If you do not see it in the photos, it is not included. I do not have the equipment or training to formally test this item so ... moreit is being sold as is. The packed dimensions of this item are approximately 78“L inches x 70“W inches x 54“H inches, in a crate. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below. Shipping LTL Freight. The unpacked weight of this item is 1.508.00 Pounds Buyer pays freight plus a fixed handling fee of $25.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) Freight charges are prepaid and will be billed based on the buyers confirmed shipping address. To avoid invoicing delays on freight items. Winning bidders should contact us through eBay with their confirmed ship to address and details on the following: Company Name: Contact Person: Contact Phone Number: Ship to Address. City, State and Zip Code: Country: Business or Residential Delivery? Is there a Dock or Forklift available? Delivery Hours: Lift-gate Delivery required? Are there any delivery restrictions? Are we delivering to a University. Strip Mall or Inside Delivery
G100375-P54 Enabling Technologies Prober Motor & Platen w/Electroglas Worktation
G100375-P54 Enabling Technologies Prober Motor& Platen w/Electroglas Worktation(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# G100375 This Enabling Technologies Wafer Prober Motor& Platen w/Electroglas Workstation Table appears to be in good cosmetic condition. Although there are a few small dings, scratches, signs of previous use. Please look at the photos. This is not a complete assembly so I am unable to power-up or test it, therefore I am obligated to list is as“Not Working” Included with this sale is the Enabling Technologies Wafer Prober Motor& Platen, the Electroglas workstation table, a joystick controller with interface card, An Electroglas Pneumatic control module, also included ... moreis an Enabling Technologies X/Y chip set for the motor/platen assembly. Item comes exactly as shown in the photos above. No other accessories are included. If you do not see it in the photos, it is not included. I do not have the equipment or training to formally test this item so it is being sold as is. The unpacked dimensions of this item are approximately 46“L inches x 34“W inches x 44“H inches. Due to the size of this unit. A custom made pallet or crate may be required to ship it. The cost of the pallet(if required) will be paid for by the winning bidder. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below. Shipping LTL Freight. The unpacked weight of this item is 534.00 Pounds Buyer pays freight plus a fixed handling fee of $25.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) Freight charges are prepaid and will be billed based on the buyers confirmed shipping address. To avoid invoicing delays on freight items. Winn
G100373-P54 Electroglas 2001CX Wafer Prober System
G100373-P54 Electroglas 2001CX Wafer Prober System(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# G100373 This Electroglas 2001CX Wafer Prober System appears to be in good cosmetic condition. Although there are a few small dings, scratches, signs of previous use. Please look at the photos. Due to a few loose wires, I believe that this unit is incomplete and partially disassembled and I feel it is not safe to power-up. Since I am unable to power it up and test it fully, I am obligated to list this unit as“Not Working” Included with this unit is a Mitsubishi AUM-1391A Color Monitor, an Electroglas DCM-2 Display Control Module, an Electroglas PCM Prober Control Module, an Electroglas PRM-3 Vision Module, ... morean Electroglas 2001CXE PSM Power Supply Module, an Electroglas 2001X Basic Maintenance Training Manual, and an Electroglas 2001X Automatic Wafer Prober Station Reference Manual Volume III. Item comes exactly as shown in the photos above. No other accessories are included. If you do not see it in the photos, it is not included. I do not have the equipment or training to formally test this item so it is being sold as is. The unpacked dimensions of this item are approximately 46“L inches x 37“W inches x 73“H inches. Due to the size of this unit. A custom made pallet or crate may be required to ship it. The cost of the pallet(if required) will be paid for by the winning bidder. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below. Shipping LTL Freight. The unpacked weight of this item is 846.00 Pounds Buyer pays freight plus a fixed handling fee of $25.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) Freight charges a
CHA Industries Auto-Tech II Controller
CHA Industries Auto-Tech II Controller Description CHA Industries Auto-Tech II Controller Manufacturer CHA Industries Manufacturer Part Number Auto-Tech II Item/Ship Weight 5 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping We will professionally package. Using ... moreinstapak foam-in-place if needed, and insure this product for safe delivery. Domestic shipping rates are available using the shipping calculator below, subject to terms& conditions. Please contact us for international shipping rates. Local pickup is encouraged for which all shipping and handling fees will be waived PID 45618 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package is too large to qualify. As such. We may need to contact you before shipping to make an adjustment to a different method which may change the price for shipping as well. This bug has been reported, but they gave no timeline for a fix, so if you are purchasing an item on the larger side(boxed s
60 ULTRA SHAR PRECISION THETA 65-2 LENS SEMICONDUCTOR PROJECTION LENS LASER LENS
ULTRA DEFINITION WIDE ANGLE near 65mm PROJECTION LENS. ULTRA SHARP THETA 65-2 PROJECTOR' LENS by US PRECISION. USA. From a DLP projector. SEMICONDUCTOR' PRODUCTION T.I. LENS. GLASS in EX SHAPE. FRONT ELEMENT LOOKS MINTY. FOCAL PLANE near 60 mm. REAR D50MM. REAR ELEMENT D33MM. FRONT D90MM. FRONT ELEMENT D80MM. FREE SHIPPING WORLDWIDE. 4127390-0001C 961004US 199703240815594A MADE IN USA
SMC INR-498-011C Thermo Chiller Used Tested Working
This SMC Sierra Motor Corp. INR-498-011C is used working surplus. It was tested in our facility and is fully functional. The physical condition is good. But there are some scratches from previous use and handling. Part No: INR-498-011C AC Input: 200-208@3Ø Temp Set Point: 20º-90ºC Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 28" x 48"x 61" 535 lbs. Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured ... moreserial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 13 Can't find the answer you're looking for? contact us! Business Hours: 8am to 5pm MST Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30am to 4pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) A 7% Sales tax applies to NEW MEXICO residents(or provide a resale tax ID for our records. A 8.8% Sales tax applies to ARIZONA residents(or provide a resale tax ID for our records. We cannot process the order until we receive the NM or AZ sales tax or a copy of your tax ID. All items will be securely packaged or palletized and shipped via the best and most economic
1997 Strasbaugh Model 6EC Laboratory Planarizer
Up for sale is a 1997 Strasbaugh Model 6EC Laboratory Planarizer. Unit features: 22" DIAMETER WATER COOLED HARD ANODIZED ALUMINUM TABLE LAPPED FLAT.0005" T.I.R. SS PAN WITH DRAIN AUTOMATIC CYCLING WITH 9" MONOCHROME TOUCH SCREEN OPERATOR INTERFACE(ALL MACHINE FUNCTIONS HAVE MANUAL OVER-RIDE) AIR POLISHING PRESSURE SYSTEM. INCLUDES DIAPHRAGM CYLINDER WITH SPRING RETURN. ELIMINATING NEED FOR COUNTERBALANCE PRESSURE. PROGRAMMABLE DOWN PRESSURE FOR OVER-ARM WITH DIGITAL DISPLAY OF DOWNFORCE PROGRAMMABLE PAD CONDITIONER VARIABLE SPEED TABLE WITH AC INVERTER AND DIGITAL SPEED INDICATION. ALLOES POLISHING TABLE TO RUN AT APPROXIMATELY 20-185 RPM. VARIABLE SPEED POWERED QUILL WITH DIGITAL SPEED INDICATION LOAD METER FOR POWERED QUILL D.I. WATER SYSTEM WITH FLOW METER LOAD/UNLOAD STATION FOR PICKUP AND DROPOFF OF WAFER. INCLUDES SPRAY ... moreNOZZLE TO WET BOTTOM OF WAFER. SPECIAL BACKPRESSURE/VACUUM SYSTEM SPECIAL QUILL SHAFT(A-38-1) AND CHUCK FLANGE ADAPTER(A-38-2) TO USE WITH BACKPRESSURE/VACUUM SYSTEM AND GIMBAL CHUCKS AUTOMATIC RISE OF QUILL AT END OF CYCLE BEFORE POLISHING TABLE STOPS. PLUS PANIC TABLE STOP/QUILL RISE WHEN STOP BUTTON IS DEPRESSED. SAFETY DISCONNECT SWITCH. 600V WORK AREA ENCLOSURE WITH SAFETY INTERLOCKED DOORS AND ACCESS PANELS FUME EXHAUST IN SS SPLASH PAN ASSEMBLY BOTTOM SEAL PLATE IN MAIN CABINET FRAME. LEVELING FEET(SET OF 4) CLEAR PLASTIC TABLE COVER WITH HANDLES ORIGINAL PRICE: $125.000 As you can see from the pictures. This unit is in extremely clean condition with minimal wear. This unit was recently removed from a working lab, but because I lack the correct hook-ups to power this machine on, actual functionality is unknown. Feel free to contact me with any questions. LOW OPENING BID! Powered by The free listing tool. List your items fast and easy and manage your active items.
TEL Tokyo Electron LTD P-8 Automatic Wafer Prober
TEL Tokyo Electron LTD P8 Automatic Wafer Prober This item is exclusively for parts only. It has a chuck and overall has not been stripped much. This item is sold as is/where is. We are price-flexible. Contact/visit us at your most convenience. QUALITY EQUIPMENT SOURCE LLC is a surplus company with approximately 50.000sq ft of warehousing, where you will find almost any type of Semiconductor Equipment or other. Back-end, front-end, metrology and facility equipment we especialize and take pride in delivering to you the best in the market. k5n.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B26rkpg%7C%3Ek5n-13ef8a578f7-0xf7-
AG Associates Heatpulse 210 RTP Rapid Thermal Processor
A.G. Associates Heatpulse 210 RTP Rapid Thermal Processor Annealing System Comes with what you see in the pictures. If you don't see it. You probably wont get it. Specifications are from a 3rd party and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. This unit requires a 100 amp circuit and I did not have one available to test basic functionality. It was removed from service in working condition however and it has VERY low hours on it. I do not have the controller for this system. Hour Meter Reads: 12.9 hours Link to the Manual: Brief Description: Low hours on system! Single wafer annealing with precision temperature control Accommodates wafer sizes up to 4" Operating temperature range of 400° to 1200°C 200/208 VAC. 50/60 Hz, 100 Amp, For More Pictures Please Click the Following: ... moreFor Domestic Customers: Packaging. Handling, and order processing included in shipping in all domestic shipments as quoted by the shipping calculator. For International Customers there is a $150.00 minimum for packaging, handling, and order processing. International shipping to be determined by destination. If you have any questions please email us at: or call Michael at 1-866-MHZ-ELEC(1-866-649-3532) Toll Free. Also. Please to view or other auctions! 02/24/08 Powered by The free listing tool. List your items fast and easy and manage your active items. 1?RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2B%3E%3B1%3F-13ef928208a-0x104-
Applied Materials 0010-09120 HEAT SINK AND MOTOR ASSEMBLY, THROTTLE DRIVE
Applied Materials 0010-09120 HEAT SINK AND MOTOR ASSEMBLY. THROTTLE DRIVE Used. Check out my! EBRCF.
AMAT Centura HDP Dielectric Etch 150 mm
Universal platform for future expansion to a myriad of applicatons. Electronic control rack customized to support application of specific chambers. Capacity for one to four independent process chambers. On board gas panel and contoller. Magnetically coupled robot permits exceptional vacuum integrity and service without interrupiting chamber integrity. Automated program control enables easy gas panel reconfiuration. four process gases per chamber. Control system: MasterFAb Central Controller(MCC) control system follows GEM insustry standard protocols. Sparc II workstation System is configured with One 5200 HDP Dielectric etch chamber, e-chuck, loadlock, Orienter chamber, with RF chuck bias System is listed for local pickup because crating and frieght charges will be required. Shipping and crating cost are the responsibility of the buyer. Please ... morecall 360-834-8738 regarding system or shipping questions.
Buehler Ecomet 4 Variable Speed Wafer Grinder-Polisher
Buehler Ecomet 4 Variable Speed Wafer Grinder-Polisher This sale is for one used Buehler Ecomet 4 Variable Speed Grinder-Polisher. 50-500 rpm. One 8" polish plate was installed, also includes one 12" plate and customer-made polish fixture. It was used to polish solar wafers. Seller tested it at good working order. A new fixture or modification may be required for meeting other special grinding/polishing.
Maxtek/Inficon MDC-370 Digital Display Thin Film Averaging Deposition Controller
Search our eBay Store! Maxtek/Inficon MDC-370 Digital Display Thin Film Averaging Deposition Controller SKU: JV-ZOR-B-MAXMDC370DCON Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Maxtek/Inficon MDC-370 Digital Display Thin Film Averaging Deposition Controller Model: MDC-370 Input: 100/120/220/240Vac 50-60Hz. 25-Watts Fuse: 4/10A. 250Vac Software Version: 1.2A Physical Condition: Good- Minor scuffs/scratches on the unit. Front panel displays and controls are in good condition. Connection ports show no noticeable signs of damage. Functionality/Degree of Testing: Unit powers on. Intro screen reads“WARNING! ... moreProces Data Corrupt_ Press any key to continue. After pressing a key. Unit’s navigational menu is responsive. We do not have the necessary resources to further test this unit. Dimensions(L" W" H" 10 ¼ x 19 x 3 3/8 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technica
(je 30) Temptronic 4" Wafer Plate Chuck
This wafer chuck is in decent used condition with just some scuffs and scratches from use. I have no way to test this unit so it is being sold as is. Thanks for looking! If you have any questions. Just send me an email(please note we are not able to answer questions on Saturdays, but they will be answered on Sunday) Thanks again and take care! NOTE ON SHIPPING: Shipping is quoted for the contiguous 48 states. All others and international bidders pay actual. Just email me for a quote. Buy it Now items will be shipped within 3 business days of completed payment. NOTE FOR INTERNATIONAL CUSTOMERS: All customs fees. Duties, import taxes, and related charges are solely your responsibility to pay. We have no ability to estimate those cost so please contact your local post office prior to bidding to understand your financial responsibilities upon ... morearrival. The number code in parenthesis at the beginning of the title is an internal company inventory code. It is in no way affiliated with the actual title. Please do not hesitate to contact us with any questions or comments. Prompt. Friendly, and accurate service is our focus.
G100589-P54 Electroglas Motorized Vacuum Chuck w/Heating Element
G100589 Electroglas Motorized Vacuum Chuck w/Heating Element Â(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge)  Product ID# G100589 This Electroglas Motorized Vacuum Chuck. With Heating Element 260673-001, appears to be in good cosmetic condition, although there are a few small dings, scratches, signs of previous use. Please look at the photos. I have no way to power-up or fully test this item so I am obligated to list it as “Not Workingâ€�. Item comes exactly as shown in the photos above. No other accessories are included. If you do not see it in the photos, it is not included. I do not have the equipment or training to formally test this item so it is being sold as is. The unpacked dimensions of this item are approximately 10“L inches x 12“W ... moreinches x 5“H inches. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms.  All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below  Shipping. Buyer pays a fixed shipping and handling fee of $25.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) All international shipments(including Hawaii and Alaska residents) will be quoted based on winning bidders shipping address. The unpacked weight of this item is 16.00 Pounds Orders will ship 1-2 business days(excludes weekends and holidays) after receipt of confirmed/verified Paypal payments.   We do not process orders nor ship on weekends or holidays. Auction Terms. Upon end of auction. The winning bidder should proceed to"CheckOut" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as advertised. As is and without warranty. Questions should be asked prior to bidding as all sales are final PAYMENT TERMS ARE PREPA
Cost Effective Equipment CEE 1100MS 7" Hotplate Bakeplate Hot Bake Baking Plate
Questions? Call us: 1-877-328-9236. Cost Effective Equipment CEE 1100MS 7" Hotplate Bakeplate Hot Bake Baking Plate. Manufacturer: Cost Effective Equipment CEE Model: 1100 MS Condition: For Parts or Not Working Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Cost Effective Equipment CEE Condition: For Parts or Not Working. Comes in non-original packaging. This unit is in Good cosmetic condition with only minor blemishes from prior use. It looks clean inside and out except that the hotplate has stains. Notes: This unit is in PARTIAL working condition. We applied air and power. And switched the red switch to ON. The temperature controller came on and the hot plate got warm. The temperature controller eventually stabilized at an indicated 116. The hot plate had a large amount of temperature variation across ... morethe plate, but the hottest spot was near the opening, at 93ºC. The controller on the front panel did NOT turn on. No other testing was done. No manuals, cords/cables, or additional items are included if not listed or shown. Included: 1) CEE 1100MS bakeplate, Specifications: Manufacturer: Cost Effective Equipment, Model: 1100MS, Programmable hotplate/bakeplate, Stainless steel construction, Power: 120V 700W, Heated area: 7" x 7" SKU: M38D027 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and C
NEW Ushio BH5-7634-020 OEBR Optical Edge Bead Removal Unit 6540-0076 w/UIS-25102
Questions? Call us: 1-877-328-9236. NEW Ushio BH5-7634-020 OEBR Optical Edge Bead Removal Unit 6540-0076 w/UIS-25102. Manufacturer: Ushio Model: BH5-7634-020 Condition: Refurbished by Manufacturer Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Ushio Condition: Refurbished by Manufacturer. Comes packaged in original wood crate. Notes: No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Ushio BH5-7634-020 Optical Edge Bead Removal Unit, Specifications: Manufacturer: Ushio, Model: BH5-7634-020, AMAT Part# 6540-0076, Power Supply# UIS-25102, Description: OEBR Unit(Optical Edge Bead Removal) Total Weight: 191 lbs. Condition: Refurbished, Quantity: 1, SKU: M29P008 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us ... morea call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. This item will be shipped on a pallet via LTL Freight. Please fill out to receive a shipping quote. Buyer pays for all shipping costs. This cost includes professional packing& insurance. If payment is sent before the shipping cost has been added to the invoice. Shipment will be delayed until payment has been received in full. DougDeals does not ship on buyer accounts or allow the buyer to arrange shipping. Local pick up is available for buyers and the
Ion Systems 6210 NilStat In-Line Gas Ionizer Plastic Fittings
Ion Systems 6210 NilStat In-Line Gas Ionizer Plastic Fittings From our inventory. We are selling a Ion Systems 6210 NilStat In-Line Gas Ionizer Plastic Fittings. Specifications: Model# NilStat 6210 More Information: Voltage: 120VAC Fittings: Plastic ST1-5 4 lbs D&S Machined Products focuses strongly on quality of items that we sell. That’s why we created a rating system based on a 1 to 10 scale. 1 being the absolute worst condition. And 10 being brand new. D&S Machined Products will not sell any item that we feel is below a 7 on our rating system. Because of our limit on lower quality items, you will not find damaged beyond repair items in our inventory. If you have any questions. Please ask. Shipping Weight: 4 lbs. To calculate shipping. Payment Types We are only allowed to accept PayPal as payment per eBay’s policy. However. You ... morecan use a Credit Card through PayPal as a “one time payment”. Paying w/o a Paypal Account Combining Items Almost all orders can be combined. Please contact us if you are bidding on multiple items that end on different days. That way we can invoice you correctly. When purchasing multiple quantities of the same item. Select the amount you want, click buy it now, confirm your purchases, and then when you are done buying all of your items ask for a total and then we will send you an invoice to pay. By bidding on and/or buying this item from D&S Machined Products. You are agreeing to the following policies: The buyer accepts all import taxes and duties for international orders. D&S Machined Products. Nor it's employees will be held responsible for accidental or intentional use or misuse occurring with this item. Any returns on items purchased from us must be addressed within 2 weeks of receiving the item. Mail: D&S Machined Products 9472 Hemlock Lane N. Maple Grove. MN 55369 USA Monday- Friday(8:30am- 5:00pm) PHONE: 763)493-6305 FAX: 763)493-5782 eBay: Please allow a reasonable amount of time for your package to be shipped and arrive. Keep in mind that we are shipping
Ion Systems 6210 Z Stat In-Line Gas Ionizer 120VAC Stainless Steel Fittings
Ion Systems 6210 Z Stat In-Line Gas Ionizer 120VAC Stainless Steel Fittings From our inventory. We are selling an Ion Systems 6210 Z Stat In-Line Gas Ionizer 120VAC Stainless Steel Fittings. Specifications: Model# 6210 More Information: Voltage: 120VAC Fitting: Stainless Steel ST1-5 4 lbs D&S Machined Products focuses strongly on quality of items that we sell. That’s why we created a rating system based on a 1 to 10 scale. 1 being the absolute worst condition. And 10 being brand new. D&S Machined Products will not sell any item that we feel is below a 7 on our rating system. Because of our limit on lower quality items, you will not find damaged beyond repair items in our inventory. If you have any questions. Please ask. Shipping Weight: 4 lbs. To calculate shipping. Payment Types We are only allowed to accept PayPal as payment per ... moreeBay’s policy. However. You can use a Credit Card through PayPal as a “one time payment”. Paying w/o a Paypal Account Combining Items Almost all orders can be combined. Please contact us if you are bidding on multiple items that end on different days. That way we can invoice you correctly. When purchasing multiple quantities of the same item. Select the amount you want, click buy it now, confirm your purchases, and then when you are done buying all of your items ask for a total and then we will send you an invoice to pay. By bidding on and/or buying this item from D&S Machined Products. You are agreeing to the following policies: The buyer accepts all import taxes and duties for international orders. D&S Machined Products. Nor it's employees will be held responsible for accidental or intentional use or misuse occurring with this item. Any returns on items purchased from us must be addressed within 2 weeks of receiving the item. Mail: D&S Machined Products 9472 Hemlock Lane N. Maple Grove. MN 55369 USA Monday- Friday(8:30am- 5:00pm) PHONE: 763)493-6305 FAX: 763)493-5782 eBay: Please allow a reasonable amount of time for your package to be shipped and arrive. Keep
TDK POWER SUPPLY 63X00825 230V
Manufacturer: TDK Model: 63X00825 Condition: USED Notes: Used surplus removed from a major U.S. fab. Removed from a working system Included: 1) TDK POWER SUPPLY 63X00825 230V Specifications: 100/115V; 12A 200/230V; 7A 50/60Hz
MKS Type 252 Exhaust Throttle Valve Vacuum System Pressure Controller 252A-1-VPO
Search our eBay Store! MKS Type 252 Exhaust Throttle Valve Vacuum System Pressure Controller 252A-1-VPO SKU: JV-HAN-C-PARTS252A1VPO Condition: For parts or not working Packaging: OEM Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge MKS Type 252 Exhaust Throttle Valve Vacuum System Pressure Controller 252A-1-VPO. BEING SOLD AS-IS FOR PARTS OR REPAIR. Type: 252 A. Model: 252A-1-VPO. Line Voltage: 100/115V/ 220/230VAC; 50/60Hz; 60VA Max Physical Condition: Good- Minor scuffs/scratches on exterior housing. Power cord is cut. Power switch appears to be slightly bent(still fully functional) Functionality/Degree of Testing: Unit ... moredoes not power on. All controls feel respsonive. We do not have the necessary resources to further test this unit. Power cable adapter is not included. Dimensions(L" x W" x H" 10-1/2 x 9-1/2 x 3-1/2 BEING SOLD AS-IS FOR PARTS OR REPAIR. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limite
CERAMIC HEATER Heater 0040-61254
I just sell this eqipment as the picture is shown. You can check out the working condition of this equipment by the pictures that I posted on my site. The equipment works just like the pictures are shown. You can refer the pictures and purchase it. We do not know more details. IT IS BEING SOLD AS IS There is no accessories with this equipment. I only sell it as is. I DO NOT ACCEPT RETURN. Thank you. God bless you. shipping- The shipping company is EMS. I send my item by using this company. The delivery is performed by every post office of each country. If you order the item. Then I pack the product right away and let you know the tracking number.
SCHUMACHER ATCS-15,PART NO.1463-0320 C,NEW ACU FANS,TESTED,WORKING CONDITION
SCHUMACHER ATCS 15.PART NO.1463-0320 C, THE UNIT IS TESTED AND WORKING CONDITION.NEW ACU FANS
DWYER VFA-8 VISI-FLOAT FLOW METER AND SWAGELOK MICRO-FIT 6LVV
DWYER MODEL: VFA-8 VISI-FLOAT FLOW METER AND SWAGELOK MODEL: MICRO-FIT 6LVV. VERY GOOD CONDITION. USED INCLUDES ONLY WHAT IS PICTURED. 30 DAY GUARANTEE! FREE DOMESTIC SHIPPING! BID OR BUY NOW. EMAIL ANY QUESTION OR COMMENT, THANK YOU! 1?RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2B%3E%3B1%3F-13f058666cc-0x100-
Jeol JFS-9855S Fine Process Inspection System
Jeol Fine Process Inspection System Model JFS-9855S for sale is a complete system. Come with many parts
BTU Solder Reflow Oven Model Delta 10
Template By Froo! BTU Reflow Oven BTU Delta 10 Solder Reflow Oven Model Delta 10 Serial ASN-3 208 V 150 Amp 3 Phase 60 Hz 42 Kw Approximately 18 feet long X 72" Tall X 52" Wide History: This item came from a working environment from a circuit board desiner in Dayton Ohio. It was in use and fully operationa l until the day t he factory closed it's doors We could not test properly but we will fully guarantee the item About Us We're a genuine seller offering quality items at lower prices.We are new to ebay but have been in the business of selling quality used and new equipment for over 20 years! Payment We accept payment by: Paypal. Shipping We take great care packaging every item to ensure safe shipment to you. We charge a shipping fee only to cover our costs of materials and labor. Once your order has been processed and we ... morehave received cleared payment. Your item will be dispatched as soon as possible. Terms We warrantee and represent that the goods are as described in the above listing. We specifically disclaim any implied warranties of title. Merchantability, fitness for a particular purpose and non-infringement. Customers should satisfy themselves that any item choice made is suitable for their intended purpose or use. We pride ourselves on our customer service. In the rare event that you have a problem with your item please contact us and we'll be happy to help. Returns Genuinely faulty goods will be replaced or money refunded. However we must be advised of any faulty goods upon receipt of the item. It is the customers responsibility to pay for and ship the item back to us. If the item is found to be faulty, we will also refund the postage cost. You may also be interested in. Template by Froo Froo Frooition www.froo.com | selling manager applications. EBay design, eBay store design, eBay shop design, eBay template design, eBay listing design.
RK Industries RK-DPR Diaphragm Pressure Regulator High Purity Furon Entegris SVG
RK Industries RK-DPR Diaphragm Pressure Regulator High Purity Furon Entegris Stainless steel bracket included. This item qualifies for eBay Fast N Free- 1 day handling and free domestic shipping with USPS Priority Mail. We care enough to take multiple pictures of our items for sale and all pictures are of the actual item for sale. This item is ready to be shipped upon your purchase. Please email us if you have any questions on this item. All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 14 day not DOA satisfaction guarantee. GC_gsrx_vers_486(GS 6.5.1(486)
MKS Model 722A53TCE3FJ 5000 Torr Pressure Transducer
for your consideration one(1) MKS 722A53TCE3FJ Pressure Transducer; 5000 Torr Input: +12-32VDC Output: 0-5 VDC This transducer is used but in excellent condition. Calibrated. Stored in 50% RH and never abused. Comes fitted with a number of fitting reductions with flange nuts for application versatility. This and my other lab items came from an aerospace lab surplus. Purchased to justify grants awarded. Many of these items are unused, though i lack the capability to test& verify. As such. I must sell the Transducer as-is; which is reflected in the price. For more pictures/details or any other questions please don't hesitate to contact me. Thanks for looking
Applied Materials 0010-70162 SLIT VALVE ACTUATOR 5000 Cleanroom
Applied Materials: 0010-70162 Slit Valve Actuator. 5000 Cleanroom. Slit Valve Actuator Sn: 32096-02 Check out my! M1B2C
TEL Toyko Electron 3985-475807-W2 Cool Plate Model 846 Used Working
This TEL Tokyo Electron 3985-475807-W2 is used working surplus. The physical condition is good. But there are some minor scratches from previous use and handling. Part No: 2985-475807-W2 Model No: 846 Serial No: 11027 Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 24"x24"x24" 46 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) ... moreLister 13 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may vary
KLA 1007/1011 Prober Operation Manual
KLA Automatic Wafer Prober 1007/1011 Operations Manual
Simco 4009180 Vision Controller Used Working
This Simco 4009180 is used working surplus. The physical condition is good. But there are some minor scratches from previous use and handling. Part No: 4009180 Serial No: 044940091803088 Input 100-240VAC +/ 10% NOM) 50/60Hz 22W(Max) Made in USA Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 10"x10"x10" 10 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See ... moreDetails Below) Lister 13 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling ti
Orbot WF29132 WF Recorder PCB Rev 0003 Used
This Orbot WF29132 is used working surplus. The physical condition is great. But there are some minor scratches from previous use and handling. Part No: WF29132 Model No: WF Recorder OEM No: 4000074 Serial No: 971200197 EK 1 94V-0 EK 4197 Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 18"x18"x12" 6 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details ... moreBelow) Lister 11 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may
Orbot 1280087 WF Aligner PCB Board RV3 0007 Used
This Orbot 1280087 is used working surplus. The physical condition is great. But there are some minor scratches from previous use and handling. Part No: 1280087 Model No: WF Aligner Serial No: 98220562 EK 1 94V-0 EK 4397 Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 18"x18"x12" 6 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister ... more11 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may vary based on the
Orbot 720-75023-DD WF Registor PCB Board Rev 0004 Used
This Orbot 720-75023-DD is used working surplus. The physical condition is great. But there are some minor scratches from previous use and handling. Part No: 720-75023-DD Model No: WF Registor Serial No: 971200267 Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 18"x18"x12" 6 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 11 Can't ... morefind the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may vary based on the packin
Orbot 710-65803-DD WF TRX PCB Board Used
This Orbot 710-65803-DD is used working surplus. The physical condition is great. But there are some minor scratches from previous use and handling. Part No: 710-65803-DD Model No: WF TRX Serial No: 98220474 Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 18"x18"x12" 5 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 11 Can't ... morefind the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may vary based on the packing requ
Orbot 71051911-DD WFRCenter PCB Board Rev A Used
This Orbot 71051911-DD is used working surplus. The physical condition is great. But there are some minor scratches from previous use and handling. Part No: 71051911-DD Model No: WFRCenter PCB Serial No: 971300383 Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 18"x18"x12" 6 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 11 Can't ... morefind the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may vary based on the packin
Perkin Elmer Vacuum MODEL 1500457
USED ITEM PERKINS ELM VACUUM PUMP MODEL 1500457 NEVER BEEN TESTED BUY AS IS SHIP OUT 1-3 DAYS
Genmark Automation OCA 24 VDC 2 A Open Cassette Adapter Component
Genmark Automation OCA 24 VDC 2 A Open Cassette Adapter Component Description MAKE: Genmark MODEL NUMBER: OCA. CONDITION: This item was removed from a professional working environment. AUCTION INCLUDES: Unit shown. ADDITIONAL INFORMATION: Guaranteed& Covered under our 14 day return policy. Because of the size of this item it must be shipped freight please contact us for quote. Only items pictured are included. If a part is not pictured or mentioned in the description then it is not included in the sale. If you are unsure about any aspect of this item please send an email and ask questions before bidding. We do offer combined shipping discounts and free local pickup. Email for details. OceanTech WE BUY& SELL IT EQUIPMENT Guarantee 14 DAY RETURN POLICY: We want our Customers to be happy with their purchases. So customers are welcome ... moreto return items within the first 14 days of receiving the item if they are unhappy with the item. There will be a 20% restocking fee on any 2nd return from the same customer that are not due to a hardware failure within the first 14 days of receiving the item. There will be no restocking fee for items that break in transit or have a hardware failure in the first seven days the customer receives it. Buyer is responsible for return shipping charges unless there was a hardware failure We will not issue refunds for items we deemed tampered with or are dissembled by the customer. Our Customer Support We do our best to ensure that each and every transaction is free of problems and issues. If you have any questions or concerns we are here to help. Per eBay's policy and guidelines you must contact us before opening a case. We ask that you only open a case as a last resort and will do everything we can to prevent you from needing to do so. If you would like to Contact us! Please use the eBay message system under the"contact seller" hyperlink. Hours: We are open Monday-Friday. 9am-5pm Central Time. We are closed on Weekends and all Major Holidays. We rarely exceed 48 busines
SMC PNUMATIC VALVE MANIFOLD
SMC Pnumatic Manifold with 10 10-VJ3223 Valves. The Manifold is used but in excelent condition with no leaks. selling as/is.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*60c23f%60%3E-13f0de5a5c6-0xf8-
STEC INC. SEC-7450 O2 MFC
Stec Inc. SEC-7450 MFC was removed from working tool and is in excelent condition. SEC-7450MO-366 O2 20 SLM selling as/is
STEC INC. SEC-7440 O2 MFC
Stec Inc. SEC-7440MFC was removed from working tool and is in excelent condition. SEC-7440MO-366 O2 2 SLM selling as/is
STEC INC. SEC-4600 N2 MFC
Stec Inc. SEC-4600 MFC was removed from working tool and is in excelent condition. SEC-4600MO-UC N2 100 SLM selling as/is
STEC INC. SEC-4550 N2 MFC
Stec Inc. SEC-4550 MFC was removed from working tool and is in excelent condition. SEC-4550MO-UC N2 50 SLM selling as/is a;06d2.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28%3Ea%3B06d2-13f0de5bb46-0xf9-
STEC INC. SEC-600 N2 MFC
Stec Inc. SEC-600 MFC's were removed from working tool and are in excelent condition. SEC-623-445 N2 200 SLM Sold individually selling as/is.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf%60%60%3E-13f0de5a6ec-0x101-
MKS 253A-3-2-2 Throttle Valve WORKING, 76 mm, S/N 9103-4-11G
MKS 253A-3-2-2 Throttle Valve(3" 76 mm S/N 9103-4-11G It opens and closes. Quoted shipping price is for lower 48 states US customers only. Ships FedX Ground. Please provide a phone# Call Logan Technologies LP. 254-773-4070 We accept Mastercard. Visa, Discover, and Paypal.
DRYDEN ENGINEERING DE3496-SPD Q-III SURFACE PARTICLE DETECTOR EXHAUST FILTER
YOU ARE BUYING ONE DRYDEN ENGINEERING DE3496-SPD Q-III SURFACE PARTICLE DETECTOR. WITH DRYDEN DE712AF-5 EXHAUST FILTER AND TWO PROBES. WHAT IS PICTURED IS WHAT YOU WILL RECEIVE. THE FOLLOWING INFORMATION WAS COPIED FROM THE DRYDEN WEBSITE, IS FOR INFORMATIONAL PURPOSES ONLY AND MAY NOT BE INCLUDED IN THIS AUCTION: The Model DE3496 SPD. Rev. provides particle concentrations per in.2 or cm2 in five sizes from 0.3 µm to 10 µm. It measures surface contamination in and on process equipment. Product containers, minienvironments, tables, benches, walls and any nominally flat surface. It detects particles sizes from 0.3, 0.5 1.0, 5.0 to 10 microns. All five channels of data are gathered at the same time, while one channel is displayed. Selectable sample times are 1, 3 and 6 seconds, with a flow rate of 1 cfm. SPECIFICATIONS hand held scanner ... moretechnology solid state laser diode 5 channels 0.3 micron to 10 microns display of particles/ in2 1cfm flow RS-232 exhaust ULPA filter straight 2" 3" probes available THIS SYSTEM IS USED SURPLUS AND IS GUARANTEED NOT D.O.A. IF YOU HAVE ANY QUESTIONS PLEASE CALL 480-200-0156. OR HIT ASK THE SELLER A QUESTION. G5) List Price: $14.000.00(NOT INCLUDING PROBE) International Buyers Please Note: Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying. We do not mark merchandise values below value or mark items as"gifts" US and International government regulations prohibit such behavior. Check out my other items! Be sure to add me to your favorites list!
MKS 270C-4 Signal Conditioner / Display
MKS 270C-4 Signal Condioner S/N 92268208A Powers up and responds to all commands. But it's not fully tested and we'll offer a 14 day right of return. Quoted shipping price is for lower 48 states US customers only. Ships FedX Ground. Please provide a phone# Call Logan Technologies LP. 254-773-4070
MKS 152B-PO Automatic Pressure Controller
MKS Pressure Controller model 152B-PO S/N 57920-1 Includes power cable It opens and closes a valve in the manual mode. We're unable to check it in the auto mode. Quoted shipping price is for lower 48 states US customers only. Ships FedX Ground. Please provide a phone# Call Logan Technologies LP. 254-773-4070
Metal 125 mm, 5" Wafer Carrier, 25 slot, H Squared Company
H Squared Co. 150 mm. 5" Metal Wafer Carrier 25 slot Used on an asher. Good condition. It will ship Fedx ground. So please provide a phone# Shipping price quoted is for lower 48 states US Customers only. Available for inspection. Logan Technologies. 254-773-4070
MKS M100B14CS1BVS Mass Flow Controller, 10000 SCCM, H2
MKS Mass Flow Controller Model M100B14S1BVS 10000 SCCM H2 S/N 876279 1/4" Swagelock compression fittings Untested. Right of return. Available for inspection. Quoted shipping price is for lower 48 states US customers only. Ships Fedx Ground. Call Logan Technologies at 254-773-4070 if you have any question.
Tamarack Scientific 180ML Radiant Impulse Processor
Tamarack Scientific 180ML Radiant Impulse Processor Used but in good condition as shown in the photographs. All pictures contained in this auction are of the actual item up for auction. Click on the pictures to enlarge them to full size. Contact information: Our contact information will be provided to the high bidder upon auction completion including our address and phone number. If you are having email problems. It is possible that our email is being stopped by your spam filter. Make sure you check your ebay registered email. Our software, Ebay's Blackthorne Pro uses your ebay registered email address for communication not Ebay messaging. If you cannot locate the email from us, use ebays"Find Contact Information" on the Advanced Search page to get our phone number so you can call us to let us know you are having a problem receiving ... moreemail. We are available Monday, Wednesday and Friday for phone calls. This is an internet based business, so the majority of our communication will be done through email and ebay messaging. Payment: We accept payment through PayPal from US and Canadian account holders with a confirmed PayPal address. We do not accept international PayPal so do not ask and do not assume that we will. Again PayPal payments must have a confirmed address. We will only ship to your confirmed PayPal address. Again. We do not accept international PayPal All California residents will be charged 8.75% sales tax. Payment must be received within 7 days of the time you receive you total including shipping. Shipping: We ship within the United States and Canada only. We do not ship to PO boxes including APO/FPO. Upon request. And with the understanding that we do not take international PayPal and only ship internationally using FedEx International, we will accept international bids. Like all bidders. International bidders are responsible for all shipping costs. If the shipping cost is not give because the item is a large freight item. Or requires more that one box to ship, please request the shipping
6 FT FIBEROPTIC LIGHT BUNDLE "SNAP ON" BY ACMI
Surplusmeister American ACMI. Fiberoptic Light Bundle. Snap-On Connector. 6FT. 5mm. Cat. Number FO 400-5A. This is a used surplus item that is sold as is because we cannot test it. Any questions just email. Our Policies: The majority of our items are Surplus. New Surplus(unused) and Used Surplus. There are some items we sell that are Factory New and they will be listed as new. All our items will be sold as is- unless otherwise stated. Questions are welcomed and encouraged prior to purchase. All inquiries will be answered within the same or next business day. Payment PayPal is the preferred method of payment. Shipping All items ship within 1 business days of receiving cleared payment. All domestic items are shipped via UPS ground unless otherwise stated. All international Items are shipped via USPS unless otherwise stated. Terms of Sale Pictures ... moreare of the actual item. UNLESS OTHERWISE SPECIFIED. No accessories or manuals included unless otherwise pictured and stated above. We try to give accurate descriptions of our items. However, we use the description from the manufacturer and these descriptions may or may not be entirely accurate. We are not responsible for these inaccuracies. All items are sold-as-is. No warranty, expressed or implied on this item. Most items offered for sale are used and may contain defects not immediately detectable. We are surplus equipment dealers and do not have the ability or expertise to test equipment for functionality beyond plugging it in and ensuring it powers up/ on. Unless otherwise stated. Please look closely at pictures. Only items shown are included. Used items may have writing, engraving, paint, etc. on the item that may or may not be seen in the pictures. This item will ship via UPS within the USA. Please use our calculator below for accurate rates. Outside of the US we ship by USPS and you will need to contact us for a shipping quote. We ship daily. Monday through Friday. We take pride in packaging each item securely and shipping quickly. Contact Us If there are an
PILZ PNOZ X3 SAFETY RELAY 120VAC 24VDC used but good fast free shipping
PILZ PNOZ X3 SAFETY RELAY 120VAC 24VDC used but good fast free shipping ALL ITEMS GUARANTEED. NO QUESTIONS ASKED RETURN POLICY FREE U.S. SHIPPING. NOTE: IF WE ACCEPT AN OFFER LESS THEN THE ORIGINAL ASKING PRICE. WE WILL SHIP THE CHEAPEST WAY. 9 TIMES OUT OF 10, IF YOU PAY BEFORE 3:30 PM EST. MON-FRI, WE WILL SHIP THAT DAY.WE HOPE THAT YOU ARE HAPPY WITH YOUR PURCHASE, BUT IF YOU ARE NOT, EMAIL US AND WE WILL DO WHATEVER WE CAN TO MAKE IT RIGHT.IF YOU ARE STILL NOT HAPPY, SEND IT BACK. BUT PLEASE GIVE US THE CHANCE TO SATISFY YOU! RETURN POLICY.FIRST EMAIL THAT YOU ARE MAKING A RETURN.SECOND IT MUST BE BACK IN THE MAIL WITHIN 14 DAYS FROM THE TIME YOU RECEIVE THE ITEM.ITEM MUST BE IN THE EXACT SAME CONDITION AS WHEN WE SHIPPED IT. Check out my!Be sure to add me to your!
Semitool STI Touch Screen Operator Station W Keyboard
Semitool STI Touch Screen Operator Station W Keyboard Semitool STI Touch Screen Operator Station with hinged Keyboard and side mount. Unit boots to Windows NT and initializes software pictured. I DO NOT have the Operator Station Software Password. Appears unused. Pentium III processor running at 850 Mhz. 256 megs memory. CDrom. PC104 daughtercard on main board. Excellent cosmetic condition. Guaranteed to be working perfectly. Packed professionally in Instapak 2 part foam for added shipping security! NO 3rd party shipping on buyer accounts California residents must pay 9.00% Sales Tax. Businesses purchasing for resale must provide CA state tax ID information. Payment is due within 7 days of auction's end. Buyer pays for shipping SEE BELOW. When possible. Multiple items will be shipped together to reduce shipping costs. Insurance for the ... moreamount of the auction is included in the shipping price. Flat fee shipping amounts apply to lower 48 states ONLY! OVERSEAS BUYER PLEASE CONTACT ME REGARDING ACCEPTED PAYMENT METHODS BEFORE MAKING A PAYMENT. If you need additional information or have any questions. Please contact me BEFORE placing your bid. International Buyers- Please Note: Import duties. Taxes, and charges are not included in the item price or shipping cost. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying. Listing created with from Black Magik Software.
Parker Compumotor AT 6400-AUX1-120V PCA 71-011823-03 REV:E BOARD
WARNING PLEASE READ CAREFULLY WHAT YOU BIDDING ON. YOU ARE BIDDING ON: This item was removed from a professional facility and therefore has some usage wear but it is in great used and working condition. Anything else not mentioned is not included* CONDITION: UNIT IS USE TEST WORKING NOTE: IT USE ITEM SCUFFS. SCRATCHES, BENT NOMAL USE Please refer to pictures for more details. WARRANTY: This item has warranty 7 day for returns OTHER ACCESSORIES NOT SHOWN IN PICTURE ARE NOT INCLUDED! PAYMENT: We prefer Paypal(Using Paypal we are able to ship your item faster) All buyers must contact us at the end of the auction if invoice through ebay has not been received. Payments must be cleared no later than 3 days after the auctions end. otherwise. Item will be relist. SHIPPING: We'll ship within 24hrs of payment; buyer pays shipping/handling. U.S. ... moreonly. I Do not ship international. WARRANTY/RETURNS: We welcome your questions by E-MAIL. But you are expected to do your own research in regard to the compatibility and/or software/driver requirements for any item they are considering purchasing. We will not be responsible for any incompatibility issues with your unit. In most cases, all information that we have available to us is included in the text of the auction. Any components, accessories and/or documentation not shown on auction pictures are not included. All auctions that are sold"AS IS" are subject to no returns or refunds under any circumstance
STC SEMI AUTOMATIC DIP SPIN COATER
STC SEMI AUTOMATIC DIP SPIN COATER STC DIP SPIN COATER#6571 MODEL DIP SPIN S10 MACHINE# SP2-S103/A THIS IS THE MORE VERSATILE SEMI-AUTOMATIC VERSION STAND ALONE PLC CONTROL WITH OPERATOR INTERFACE ALLOWS OPERATOR TO CHANGE DESIRED DIP AND SPIN TIME AVERAGE CYCLE TIME 2 MINUTES R&D MACHINE WHERE VARIABLES NEED TO BE CHANGED AIR OPERATED MOTORS COMMONLY USED IN MICROFABRICATION FOR THIN FILM APPLICATIONS BELOW 10nm PHOTOLITHOGRAPHY TO APPLY PHOTORESIST 25 POUND/20 CF CAPACITY 2.5 SQ FT FOOT PRINT NO BASKET WITH THIS POWERS UP NOT TESTED WILL COME WITH 14 DAY RIGHT OF RETURN Shipping is the responsibility of the purchaser. We will. As a courtesy help make arrangements for the loading and shipping of a given item but the title is the customers as soon as it is paid for and loaded. We are not responsible for any damage done to an item while ... morein transit. Packaging for shipment is done at an additional cost to the purchase price, and will vary according to the complexity, size and difficulty of making it secure for shipment. Please ask what this will be for a given item. There are no markups on freight costs. Only actual freight charges are asked for. Items less than 150 pounds and 108" in girth will go via Fedex or a similar carrier. Larger items will go via a LTL carrier. It is important that all shipping information be given before shipment: NAME, SHIPPING ADDRESS, AND TELEPHONE NUMBER NEED TO BE INCLUDED IN CORRESPONDENCE to ensure correct shipment. On larger items I need to be informed if it is going to a residence or business address with either dock high unloading or forklift available. Any additional charges that might be incurred and billed to me will be the responsibility of the purchaser to pay. All items are"sold as is where is" without warranty or guarantee as to suitability for purpose or condition unless noted in the add. Items are generally purchased through a number of sources or third parties and prior use or function cannot be vouched for. We generally do not have expertise in a lot
R98224 Xynetics Electroglas Model 2001X 8" Wafer Probe Prober Station
R98224 Xynetics Electroglas Model 2001X 8" Wafer Probe Prober Station(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# R98224 This Xynetics Electroglas Model 2001X 8" Wafer Probe Prober Station is in fair cosmetic condition with many signs of prior use and wear. It comes as shown in the photos and it has not been powered on. Many pieces are missing. However. I lack the knowledge or experience to test this unit, so due to no testing, it is being sold as-is for Parts or Repair. Please see photos for more detail. Item comes exactly as shown in the photos above. No other accessories are included. If you do not see it in the photos. It is not included. Unpacked dems 45"x32"x44" Please ask questions ... moreor indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below. Shipping LTL Freight. The unpacked weight of this item is 496.00 Pounds Buyer pays freight plus a fixed handling fee of $25.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) Freight charges are prepaid and will be billed based on the buyers confirmed shipping address. To avoid invoicing delays on freight items. Winning bidders should contact us through eBay with their confirmed ship to address and details on the following: Company Name: Contact Person: Contact Phone Number: Ship to Address. City, State and Zip Code: Country: Business or Residential Delivery? Is there a Dock or Forklift available? Delivery Hours: Lift-gate Delivery required? Are there any delivery restrictions? Are we delivering to a University. Strip Mall or Inside Delivery? Freight quotes and charges will be billed within 3-5 business days of receipt of shipping details above(please note international freight quotes may take longer) Frei
Millipore in Line Filter Housing with NEW T-Line WGGB12S02 Filter
Millipore In-Line Filter Housing with NEW WGGB12S02 T-Line Filter. 12 stack 1/4" Compression Fittings It will ship Fedx ground. So please provide a phone# Shipping price quoted is for lower 48 states US Customers only. Available for inspection. Logan Technologies. LP 254-773-4070
AMAT 1270-01626 Precision Sensors P17W-E16 Pressure Switch Set: 100 PSIG Max
Applied Materials: 1270-01626 Looks like new. Precision Sensors P17W-E16 1/4" VCR. Actuation Pressrue: 100 PSIG Max. Guaranteed not DOA. Check out my! M1A1B. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf52%3E-13f0de5a808-0x100-
Applied Materials 0020-10771 150mm Perforated Plate Gas distribution Shower Head
Applied Materials 0020-10771 Perforated Plate. 150mm. With inspection reports. Listing as used due to small dent at one bolt hole from handling. Check out my! M2A2B.
Quartz tube cleaner pump QTZCLN Dayton motor 1.5HP
View my Other Auctions EMAILS answered M-F 8-5 MST SHIPPING TERMS: We use UPS ground service for delivery in the lower 48 states. International customers We use USPS Priority for international delivery. International customers click here for shipping guidelines Shipping fees listed on all auctions via cost calculator for international and domestic. All packaged items include 2" of bubblewrap and/or foam if applicable. Freight Delivery: In Most cases. We will pelletize for free. Any crating would be subcontracted. We have forklift loading. We also have local pick up. For larger, heavier items customer is required to make arrangements. We can also get a freight quote for you. And/or ship on your account. If you have any questions about our freight shipment guidelines. Or to get a quote don't hesitate to contact us. Returns Policy: ... moreitems sold"as is" have no return. No warranty& no guarantee. AS IS items are not covered by return policy. These sales are final. Southwest Liquidators does not warranty the item in AS-IS auction/store in any way. No refunds. These statements maybe used as an evidence for PayPal dispute or chargeback. DOA means dead on arrival For items with a guarantee. no guarantee unless stated otherwise) items must be returned within 15 days Item must be in original shipped condition(not modified. Not missing parts) Contact us before returning any items Items that are returned more than 15 days after delivery will receive No refund. Buyer is responsible for return shipping charges if applicable. Shipping charges are directly quoted from the shipping company. Please consider these costs when intending to purchase an item. We sell mostly USED Industrial Equipment. Please email us with any questions or if the description is unclear to you. I believe this is a pump for a quartz tube cleaner. I do not see any make or manufacturer information on the pump. It is a plastic body pump with 1.5" intake. 1" out piping. The rectangular plastic mounting plate measure 12" x 9&qu
(2) VACUUM BASE MICROPOSITIONERS (MICROMANIPULATORS) 1 LEFT / 1 RIGHT
2) VACUUM BASED MICROPOSITIONERS(MICROMANIPULATORS) Mfg. name not on units but is probably Wentworth due to color of units. Vacuum Base* 1) LEFT(1) RIGHT Note: Please see pictures. One has a probe holder Sold as is
Fusion M200 PCU Photostabilization System
Axcelis/ Fusion M200 PCU Photostabilization System Serial# PU5K239T- Single chamber DUV Photostabilizer- Wafer Size: 8" UV Bake process applications- two cassette stations- Microprocessor controlled- Through-the-Wall Compatibility-Unit is sold:As-Is-Where-Is. No warranties Expressed or Implied. Crating and packing to be done as per customer orders. World wide crating available. 1.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28b0g%60%60%3E1-13f0e2d54dc-0xf0-
AE PE-1000 RF Generator 3157501-009D 220V
AE PE-1000 RF Generator 3157501-000D TESTED WORKING CONDITION Information Condittion used a+++++++++. This unit is fully tested prior to shipping Shipment We will ship your order within 2-3 business days via post airmail. It will usually take 10-14 business days after shipment. Return Policy We carefully inspect the item before shipment. In case you found a defect on the purchase. We will exchange or refund it Contact Us We are willing to respond to your inquiry If you have a question or problem.Please contact us first. It is the fastest way to settle your concern or issue.
SensArray Process Probe 200mm Test Wafer 1770 series
There are 17 Type K Thermocouple junctions placed uniformly on the 8 inch(200 mm)silicon wafer. A diagram of each location and corresponding plug pin number is included as well as the calibration certificate from 1995
Lam Research 715-330825-006 Chamber Liner AL Extended
Manufacturer: Lam Research Part Number: 715-330825-006 Asset Number: A28484 Terms& Conditions. Shipping: Buyer pays for all shipping charges. We allow buyer to use their shipper’s account. If desired. Certain items may require palletizing or crating, this cost is assumed by the buyer. Return Policy: We offer a fourteen(14) day right of return unless otherwise stated in the listing. All returns must include all original undamaged items. In re-sealable condition with all original packing and documentation. Shipping and Handling fees are non-refundable and buyer is responsible for return item shipping cost. Payment: Payment is due in full within 3 business days. Items will not be released if funds are not received within this time frame and the right of return will be forfeited. Tax: A 9.3% sales tax applies to Arizona residents only or ... moreprovide a resale tax I.D. certificate. We will not process the order until we receive sales tax or copy of tax certificate. Please note: Allow Seven Business days for checks to clear prior to shipping* All items are being sold as-is unless otherwise specified in the listing. By bidding on or purchasing items in our store. Buyer agrees to the terms stated on each listing as well as terms and conditions posted at. Payment Address: GES Associates. LLC. 39 West Hampton Ave. Mesa. AZ 85210 480-610-1604 Hours of Operation: Monday- Friday 8:00am– 5:00pm MST We pride ourselves on innovation. Flexibility, and performance- the keys to profitable results in this ever changing and dynamic marketplace. With our extensive line of state-of-the-art equipment and spare parts from leading industry manufacturers, our focused and results oriented sales team consistently creates satisfied customers worldwide. Powered by The free listing tool. List your items fast and easy and manage your active items.
DXL Mass Flow Controller, Model M10-1, DIGITAL 20 SCCM HE/02
DXL MASS FLOW CONTROLLER 20 SCCM HE/02 VCR fittings. Untested. 14 day right of return. Quoted shipping price for lower 48 states US customers only. Questions? Call 254-760-2424
Small Aluminum Vacuum Chamber 18 by 10" overall "Sputter Target"? Assembly
Ebay Listing Form- We have 1000's of Industrial/Laboratory equipment& parts items-See the categories on the left below- Intricate Small Aluminum Vacuum Chamber Assembly 18 by 10" overall"Sputter Target" FEATURES: Custom manufacture in the United States, Possibly used as a sputter chamber? 21.5 lbs raw weight. 18" tall x10" diameter, CONDITION: Looks great. Not sure it has ever been used but can't prove one way or another. Sold as-is. CA Inventory# 5512 NOTES: packing& shipping is very costly. used& parts, understand item condition. you can make an offer. international is super costly& slow. combined shipping. anything electrical-more restrictions. can't schedule shipping without. delivery takes time. query& purchase response time. we make a mistake now& then. LINKS: CAVLON ITEM ... moreCATEGORIES: air compressors& parts, fans& blowers, bio energy fuel diesel components, bulk material handling, computer. Network& telecom, construction equipment& tools, electric motors, electronic parts& assemblies, generators& backup power, hardware& fasteners high voltage components, high pressure components, industrial automation components, industrial electrical components, lab& industrial ovens& furnaces, liquid pumps, machine tools, mechanical parts& assemblies, optical parts& assemblies, pneumatics, power supplies, rf radio frequency components, robotics, test and measurement equipment, ultrasonic equipment, vacuum pumps& components, valves and fittings, video components, wire. Cable& shrink tubing, Additional similar or related items may be available listed separately. This Might Be Just What You Need For Your Vacuum Chamber Application. If you want or really need what you see. Do the"Buy-It-Now" before someone else locks you out of it! Terms And Conditions Are As Follows: The seller may refuse to sell to. Cancel the bid of, or terminate a transaction with any party the seller comes to believe is not an appropr
Polyflow 6"/150mm quartz tube & parts cleaner, Triple Tower
Description: Polyflow Cleaning System of Quartzware Triple Tower Model 317 Vertical Quartz Tube and Parts Cleaner Saves Fab Space Good Condition Great value in System and Parts Manufacturer Still in Production Parts available on Second Hand Market. Less expensive Since PayPal charges a fee. 2.5% deducted for Wire Transfer 150mm tube compatible Cleans quartz tubes: From the Manufacturer: Here is the classic Poly-Flow quartz tube cleaner proven by use in countless fabs around the world. The 317 features three distinctive types of cleaning for all your horizontal furnace parts. Tubes are consistently etched with the patented Turbo-Nozzle to selectively clean the inside and outside. Paddles. Cantilevers, sheaths and master boats are rotated on the original carousel providing 360 degree etching and rinsing. Boats and assorted small parts are immersion ... moreetched in the 16" x 12" x 12" sink located below the carousel. All of the safety features pioneered by Poly-Flow are built in. Not added on. Pressure switches and sensors for DI wter, nitrogen and exhaust help avoid costly problems. Interlocked process chambers and maintenance panels help keep your technicians out of trouble. Automatic dual drain valves with split programming segregate high concentration flouride wastes from general acid waste to lower your effluent treatment costs. Monitored secondary containment for the plumbing compartment and a low acid volume allow compliance with building and safety regulations. PROCESS Operator blends chemicals in holding tanks from BCDS or manually. Tubes are sprayed with concentrated acid inside an/or outside. Solution reciruculated as programmed. Parts fixtured on the carousel are spray etched and rinsed. Parts in teh sink are immersion etched and rinsed. Single use acid is sprayed on all parts for a final etch fom dedicated piping. both chambers can be programmed to operatue during a single recipe. SPECIAL FEATURES Full rear service Desktop PCMS compatible Left chamber has a rotating carousel for cantilevers. Bo
Very Nice Empak PH9150 Ultrapak 6"(150mm) without Wafer carrier
Very Nice Empak PH9150 Ultrapak 6"150mm) without Wafer carrier XuMatic Inc is a high technology company dedicated to developing thin film solar cells technology. Semiconductor equipment and solar materials to produce solar energy. Its mission is to design and implement new solar energy equipment and materials. Including copper indium gallium selenide(CIGS) thin film deposition process enabling lower solar cell prices and wider adoption of solar power. Surplus Equipment is sold as is and Xumatic Inc shall not be liable for any use of misuse of this product
Entegris/Fluoroware Teflon Wafer Carrier A 190-60
Entegris/Fluoroware Teflon Wafer Carrier A 190-60; used in good conditions XuMatic Inc is a high technology company dedicated to developing thin film solar cells technology. Semiconductor equipment and solar materials to produce solar energy. Its mission is to design and implement new solar energy equipment and materials. Including copper indium gallium selenide(CIGS) thin film deposition process enabling lower solar cell prices and wider adoption of solar power. Surplus Equipment is sold as is and Xumatic Inc shall not be liable for any use of misuse of this product ``d.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3E%60%60d-13f0e2d53d4-0x106-
Fluoroware A190-40M wafer carrier, used in good condition
Fluoroware A190-40M wafer carrier. Used in good conditions XuMatic Inc is a high technology company dedicated to developing thin film solar cells technology. Semiconductor equipment and solar materials to produce solar energy. Its mission is to design and implement new solar energy equipment and materials including copper indium gallium selenide(CIGS) thin film deposition process enabling lower solar cell prices and wider adoption of solar power. Surplus Equipment is sold as is and Xumatic Inc shall not be liable for any use of misuse of this product Contact: info@xumatic.com; websit: www.xumatic.com
DSA 2000 sprayer for photoresist
The Teledyne’s DSA2000. Was designed to deposit by spraying photoresist on large panels. A panel is placed in a vertical gripping/fixture system that is then conveyed across an array of four guns that spray the panel vertically. The machine can handle very thin(0.001” core) to very thick(0.125” panels Teledyne have designed their own guns to very tight tolerances so that the spray pattern of the four or five gun array is very uniform.
Fortran Wafer Loader F-8025 Used
This Fortran Wafer Loader is used untested surplus. The physical condition is good with signs of wear. Model# F-8025 Part# 120-1004 Condition: Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Freight Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ1 Can't find the answer you're looking for? contact us! Business Hours: 8am to 5pm MST Monday to Friday We are closed on Weekends and all major ... moreUS holidays. Arizona Warehouse Delivery Hours: 8:30am to 4pm MST Monday to Friday Email Address: eric@usedeqsales.com Phone: 480.322.5025, Fax: 855.233.8618, Mailing Address: All items will ship from UES Arizona Facility. 2877 N. Nevada Street Suite 100 Chandler. AZ 85225 United States of America Arizona Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days. Payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) A 8.8% Sales tax applies to ARIZONA residents(or provide a resale tax ID for our records. We cannot process the order until we receive sales tax or a copy of your tax ID. All items will ship from UES Arizona Facility. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may vary based on the packing requirements. So please allow up to 5 business days for handling time(possibly longer for freight items. All tracking information is forwarded to the email address associated with your PayPal or eBay
2644 PFA High Purity Process Tank
You are Buying a PFA High Purity Process Tank Used. Excellent Conditions. Sold As Is. PFA High Purity Process Tank Dimensions: 46” x 18” x 24” 2644 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge for freight will be determinate by the destination upon the ending of the auction. There will be a ... morepacking fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
MKS INSTRUMENTS 1150C- 4377V VAPOR MASS FLOW CONTROLLER 4 SCCM H2O VCR
MFC MKS INSTRUMENTS 1150C- 4377V VAPOR MASS FLOW CONTROLLER 4 SCCM H2O VCR 14 DAY WARRANTY WE USE USPS. UPS AND FED-EX MOST ITEMS WILL BE PROCESSED WITHIN 3 BUSINESS DAYS FREIGHT ITEMS WILL SHIP WITHIN 4 DAYS WE ALSO DO LOCAL PICK-UPS BUYER PAYS FOR SHIPPING COST ON RETURNED ITEMS WE ACCEPT PAYPAL. WIRE TRANSFERS AND CHECKS MAKE CHECKS PAYABLE TO JESSICA CANDELARIA SEND CHECKS TO 377 SPOSITO CIR. SAN JOSE, CA 95136 PAYMENT IS EXPECTED WITHIN 7 DAYS FROM THE END OF AUCTION CONTACT: JESSICA AT 408-649-6814 f3d.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2B%3Ef3d-13f0e2d54cd-0x103-
Brooks Automation VCE6 Tilt Elevator
This is a Brooks Automation VCE6 Tilt Elevator for a Vacuum Cassette System. Removed from a cluster tool includes all sensors including cassette present sensor. In great working condition.
Dycor Ametek CG1100 Oxygen Analyzer Used, as removed from service
Surplus Oxygen test equipment removed from a working fab situation
APPLIED MATERIALS P/N 0190-35197 PJ2 AIR SOLENOID MANIFOLD G
APPLIED MATERIALS P/N 0190-35197 PJ2 AIR SOLENOID MANIFOLD G Normal 0 false false false EN-US X-NONE X-NONE MicrosoftInternetExplorer4 WE APPRECIATE YOU AS A CUSTOMER. AND WE THANK YOU FOR SHOPPING WITH US. WE LOOK FORWARD TO PROVIDING YOU WITH OUTSTANDING SERVICE. YOU MAY ALSO CALL US AT 408-778-2001 MONDAY– FRIDAY 8AM-5PM PST CONDITION· Most of our items listed are posted and sold either NEW. AS-IS or USED. If sold“NEW OR USED” you have 14 days from the date of arrival to test the item or items& notify us if it is not working. Failing to do so within the time frame will void any return policy that was offered. NO Warranty unless otherwise specified in description. There are no refunds or exchanges or returns for items that are sold AS IS. It is your responsibility to verify the software or the capability of an item you purchase ... moreprior to purchasing it. To confirm it works with what you have. No refund will be given if item is working but not compatible with your equipment. In most cases this can be negotiated, but it must be done prior to your purchase. Please. Understand that we do not have the equipment, facilities, or time to fully test every item. If we say the item works, then it was tested to the best of our ability. All. Items and contents are described to the best of our ability. We examine all items and supply all available Make/Model information. We do not have time to get detailed information beyond what is stated in the listing but will try to answer all questions in a timely manner. Items sometimes show the serial number. Due to the fact that sometimes we have multiple items for sale you might not get the one in the photo, the item will be in as good or better condition than the photo shown. POLICY· If you feel we have misrepresented an item. Please contact me either by email or telephone or through ebay and give me the opportunity to work it out with you. With most items you have 14 days from the date you receive the item or items to test& notify us if it is not working. However.