Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
59.99
Buy It Now
Free Shipping
Condition: Used
Location: Corona, United States
8" SILICON WAFFER. COPPER IN COLOR. The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory ... moreagencies.
$
74.99
Buy It Now
$6.16 Shipping
Condition: Used
Location: Corona, United States
8" SILICON WAFER RECLAIMED #35 THESE ARE USED SILICON WAFERS. THEY MAY HAVE MINOR COSMETIC IMPERFECTIONS. IF YOU HAVE ANY ISSUES WITH YOUR PURCHASE, CONTACT ... moreUS WITHIN 30 DAYS OF DELIVERY FOR ASSISTANCE. IF YOU HAVE ANY QUESTIONS, CONTACT US BEFORE PURCHAS
$
60.00
Buy It Now
$6.16 Shipping
Condition: Used
Location: Corona, United States
THESE ARE USED SILICON WAFERS.
$
57.50
Buy It Now
$11.70 Shipping
Condition: Used
Location: Corona, United States
8" SILICON WAFER. COPPER IN COLOR. CONDITION IS USED. The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and ... morestate and local regulatory agencies.
$
24.90
Buy It Now
$7.98 Shipping
Condition: Used
Location: Ephrata, United States
26 Piece Lot of Silicon / Si Wafers Pieces.Different Lithography / Die designs. These were parts of sample wafers in 8" and 12" sizes.They were cut from ... morethe original wafers and used as testing material.Perfect for jewelry and craft projects.See penny in photos for idea of sizes.
$
44.99
Buy It Now
$6.16 Shipping
Condition: Used
Location: Corona, United States
8" SILICON WAFER RECLAIMED #31 THESE ARE USED SILICON WAFERS. THEY MAY HAVE MINOR COSMETIC IMPERFECTIONS. IF YOU HAVE ANY ISSUES WITH YOUR PURCHASE, CONTACT ... moreUS WITHIN 30 DAYS OF DELIVERY FOR ASSISTANCE. IF YOU HAVE ANY QUESTIONS, CONTACT US BEFORE PURCHASIN
$
34.99
Buy It Now
$6.16 Shipping
Condition: Used
Location: Corona, United States
8" SILICON WAFER RECLAIMED #32 THESE ARE USED SILICON WAFERS. THEY MAY HAVE MINOR COSMETIC IMPERFECTIONS. IF YOU HAVE ANY ISSUES WITH YOUR PURCHASE, CONTACT ... moreUS WITHIN 30 DAYS OF DELIVERY FOR ASSISTANCE. IF YOU HAVE ANY QUESTIONS, CONTACT US BEFORE PURCHAS
$
25.00
Buy It Now
$6.00 Shipping
Condition: Used
Location: Great Falls, United States
8"200mm SILICON WAFER WITH MEMORY PATTERN SUPERB REFLECTIVE EYE CANDY FLASH +++ THE PHOTOS SAY IT ALL… Each Buy It Now winner will get 1(one) beautiful ... more8" or 200mm etched silicon wafer. I believe that the devices are memory dies but cannot be sure as my microscope if not good enough to get the full detail. To my amateur eye it looks like it could be FLASH memory- almost certainly it is memory of some sort. These are manufacturing pulled wafers- 100% perfect wafers would cost in excess of $500(US Dollars) and in any case would not be for sale on eBay as the manufacturer always destroys any surplus wafers. There will be some imperfections and micro scratches and this is just part and parcel of buying used wafers- you gotta live with it! The gallery photo shows how this wafer looks under halogen lighting- stunning eh? The second and third photos are of the wafer under a simple neon tube desk lamp. Note the lovely blue oxide finish on the reverse. The other photos are of the wafer die pattern under my cheapo USB microscope. Wafer will be well packed with plenty of bubble and space to breathe- posting is by Registered Airmail with a tracking number that can be used to trace the item. gsrx_vers_476(GS 6.4.4(476)
$
14.99
Buy It Now
$16.99 Shipping
Condition: Used
Location: Crystal Lake, United States
Make and model number not sure. Good chance these were used with a Disco machine.
$
5250.56
Buy It Now
$46.96 Shipping
Condition: Used
Location: Buda, United States
We do repairs. (See Hours of Operation, above). REQUIRED BY US LAW. Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ ... moreyāoqiú. 重要的!!!如果没有有效的 电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
1299.90
Buy It Now
Free Shipping
Condition: Used
Location: South Korea
104760 Series 8. Brooks Automation.
$
4.97
Buy It Now
$9.97 Shipping
Condition: For parts or not working
Location: Ukraine
We will make every effort to fix any problem.
$
215.33
Buy It Now
$17.60 Shipping
Condition: New
Location: Ogden, United States
Silicone Wafer Dipper. Holds 7.94" Square Wafer. Made in Natural, Virgin PTFE. 8.25" x 9.5" O.D. We make ONE or many. Can't find your size?. We can custom ... morecreate one for you!
$
149.99
Buy It Now
Free Shipping
Condition: New
Location: Brooklyn, United States
Accepting Offers
$
800.00
Buy It Now
$16.40 Shipping
Condition: New – Open box
Location: Cupertino, United States
This high-quality wafer processing component is a must-have for any business in the semiconductor and PCB manufacturing industry. It is designed to fit ... moreperfectly with Novellus Chamber Gas Dist 8" Wafer 16-00110-00 and is suitable for all kinds of CNC and metalworking applications. Crafted with excellence from the finest materials, this component is built to last and provide reliable performance for all your manufacturing needs. Its sleek design and superior quality make it an excellent choice for businesses that are looking to stay ahead in the competitive market.
$
64.00
Buy It Now
$11.70 Shipping
Condition: Used
Location: Corona, United States
8" SILICON WAFER USED. THESE ARE USED SILICON WAFERS.
$
58.00
Buy It Now
$8.98 Shipping
Condition: Used
Location: Ephrata, United States
Lot of 6 Silicon 200mm 8" Wafers with Different Lithography Designs. (Lot 0408). 6 wafers have various cuts and slices as shown in the photos. Very colorful ... morewith differing degrees of coloration and die / lithography designs.
$
8.00
Buy It Now
$7.00 Shipping
Condition: Used
Location: Rochester, United States
$
75.00
Buy It Now
$26.00 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
This item is designed for wafer transport processing of silicon wafers. General specifications include: High Profile, Closed Side Wall, Flanges on End ... moreWall and H-Bar End, Handle, 25-Capacity.
$
850.00
Buy It Now
$143.60 Shipping
Condition: Used
Location: Hillsboro, United States
This unit is used on a number of different Electroglas wafer probing systems. The brightfield puts light on the item being looked at. The darkfield ring ... morelight puts light around the area being looked at.
$
44.95
Buy It Now
Free Shipping
Condition: New
Location: Phoenix, United States
Semiconductor devices are manufactured using the most automated and technologically advanced processes in the world. Predictable location for precise ... morewafer access. Details (from the manufacturer). If you're not happy with our product or service, neither are we!
$
1250.00
Buy It Now
$69.25 Shipping
Condition: Seller refurbished
Location: Saint Petersburg, United States
This listing is for a wafer heater intended for up to 8" wafers (hot zone of about 9" square). good to 1000c in vacuum, tantalum heaters. comes with ceramic ... morehat washers for electrical isolation. 
$
20500.00
Buy It Now
Condition: New
Location: Schenectady, United States
Model:Gencobot GB8-MT GPR-MT-2000. Second Unit Serial Number: 80110698. Location: WF57 L and R New. Our facility includes a truck-height loading dock ... morefor convenience. Local pickup is also available.
$
4875.56
Buy It Now
Condition: Used
Location: Buda, United States
Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson at getsparesllc. ... more(See Hours of Operation, above). REQUIRED BY US LAW.
$
59.99
6d 2h 16m 58s
0 bids
$23.50 Shipping
Condition: Used
Location: Leander, United States
Manufacturer: Empak. SMIF Wafer Carrier Case Pod. There may be minor scuffs and scrapes due to previous use. As noted, this carrier was sent to a clean ... moreroom facility, cleaned and wrapped. Notes:This wafer was removed from the spares of a closed facility.
$
24.95
Buy It Now
$8.05 Shipping
Condition: Used
Location: Fremont, United States
8" Semi-Circle 200mm Silicon Wafer. Used wafer, clean, this is a semi-circle.
$
24.97
Buy It Now
$33.44 Shipping
Condition: Used
Location: Leander, United States
(1) Shin-Etsu MW300G-A FOSB Polycarbonate Wafer Carrier. Compatible Wafer Size: 300mm. The wafer carrier is in good condition. Wafer Slots: 25. Material: ... morePolycarbonate. The door opens normally and securely shuts.
$
69.00
Buy It Now
$6.16 Shipping
Condition: Used
Location: Corona, United States
8" SILICON WAFFER. COPPER IN COLOR. The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory ... moreagencies.
$
800.00
Buy It Now
Free Shipping
Condition: Used
Location: Killeen, United States
$
700.00
Buy It Now
$17.00 Shipping
Condition: Used
Location: Killeen, United States
$
400.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
800.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Serial numbers or country of manufacture may vary. Sale Details.
$
49.00
Buy It Now
$21.00 Shipping
Condition: New
Location: Rochester, United States
$
225.67
Buy It Now
$9.05 Shipping
Condition: New – Open box
Location: Boise, United States
REQUIRED BY US LAW. (See Hours of Operation, above). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 ... more电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
49.95
Buy It Now
$9.73 Shipping
Condition: Used
Location: Fremont, United States
8"200mm SILICON WAFER WITH MEMORY PATTERN SUPERB REFLECTIVE EYE CANDY FLASH +++ THE PHOTOS SAY IT ALL… Each Buy It Now winner will get 1(one) beautiful ... more8" or 200mm etched silicon wafer. I believe that the devices are memory dies but cannot be sure as my microscope if not good enough to get the full detail. To my amateur eye it looks like it could be FLASH memory- almost certainly it is memory of some sort. These are manufacturing pulled wafers- 100% perfect wafers would cost in excess of $500(US Dollars) and in any case would not be for sale on eBay as the manufacturer always destroys any surplus wafers. There will be some imperfections and micro scratches and this is just part and parcel of buying used wafers- you gotta live with it! The gallery photo shows how this wafer looks under halogen lighting- stunning eh? The second and third photos are of the wafer under a simple neon tube desk lamp. Note the lovely blue oxide finish on the reverse. The other photos are of the wafer die pattern under my cheapo USB microscope. Wafer will be well packed with plenty of bubble and space to breathe- posting is by Registered Airmail with a tracking number that can be used to trace the item. gsrx_vers_476(GS 6.4.4(476)
$
54.49
Buy It Now
$11.70 Shipping
Condition: Used
Location: Corona, United States
8" SILICON WAFER USED. THESE ARE USED SILICON WAFERS.
$
4724.99
Buy It Now
Condition: Used
Location: Phoenix, United States
Ultron Systems UH110-8 8" (200mm) Wafer Backgrinding Semiautomatic Protective-Film Remover. Ultron Systems' Model UH110 and UH110-8 Semiautomatic Film ... moreRemovers demount film from 3" to 8" (this unit is setup for 8") wafers after the backgrinding or etching process.
$
325.00
Buy It Now
$15.00 Shipping
Condition: New
Location: Austin, United States
$
49.99
Buy It Now
Free Shipping
Condition: Used
Location: Turlock, United States
8"/200mm Dummy Wafer.
$
49.99
Buy It Now
$6.16 Shipping
Condition: Used
Location: Corona, United States
8" SILICON WAFER RECLAIMED #30 THESE ARE USED SILICON WAFERS. THEY MAY HAVE MINOR COSMETIC IMPERFECTIONS. IF YOU HAVE ANY ISSUES WITH YOUR PURCHASE, CONTACT ... moreUS WITHIN 30 DAYS OF DELIVERY FOR ASSISTANCE. IF YOU HAVE ANY QUESTIONS, CONTACT US BEFORE PURCHASING. 
$
79.95
Buy It Now
Free Shipping
Condition: New – Open box
Location: Gravette, United States
New in opened bag static dissipative push button wand for 1/8" hose, model VWWB-2A-SD-1/8". Free shipping. 
$
285.00
Buy It Now
$18.75 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
25 slot,Teflon / PFA material wafer carrier for chemical processing o f 150mm (6") x up to 1mm (0.039"). silicon, sapphire, II-VI, III-V wafers -or- glass, ... moreoptical and other single crystal substrates.
$
450.00
Buy It Now
$43.38 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Wafer Carrier: 8 Inch Metal Al Wafer Carrier For 4 Inch Substrate Warranty: N/AReturn: N/A
$
9850.82
Buy It Now
Condition: Used
Location: Buda, United States
REQUIRED BY US LAW. We do repairs. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān ... morekùn zhù. Měiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求。.
$
399.99
Buy It Now
$17.41 Shipping
Condition: Used
Location: Leander, United States
(1) Empak PH9150 Case. Model: PH9150. Manufacturer: Empak. (25) Semiconductor Silicon SiC IC Wafer 150mm. The carrier is used but the wafers are new. ... moreThe wafer has a pattern of grind marks on the back side.
$
2650.68
Buy It Now
Condition: Used
Location: Buda, United States
Your Source for Spares & Repairs. REQUIRED BY US LAW. (See Hours of Operation, above). Novellus C-1.
$
38.99
Buy It Now
$6.16 Shipping
Condition: Used
Location: Corona, United States
8" SILICON WAFER RECLAIMED #32 THESE ARE USED SILICON WAFERS. THEY MAY HAVE MINOR COSMETIC IMPERFECTIONS. IF YOU HAVE ANY ISSUES WITH YOUR PURCHASE, CONTACT ... moreUS WITHIN 30 DAYS OF DELIVERY FOR ASSISTANCE. IF YOU HAVE ANY QUESTIONS, CONTACT US BEFORE PURCHAS
$
350.00
Buy It Now
Free Shipping
Condition: Used
Location: Hollister, United States
The unit shows signs of use, such as, minor scuffing. These products are subject to export restrictions under U.S. law.
$
950.00
Buy It Now
Condition: Used
Location: Phoenix, United States
FROM KLA WAFER INSPECTION MACHINES.
$
49.99
Buy It Now
$10.00 Shipping
Condition: For parts or not working
Location: Glen Flora, United States
These could possibly be from Burroughs/Sperry/UniSys is what we were told, but we have no way to confirm that.
$
1250.00
Buy It Now
Free Shipping
Condition: New
Location: Fremont, United States
HIGH PRECISION WAFER POLISHING/THINNING CERAMIC BLOCK WAFER CARRIER.
$
219.99
Buy It Now
$17.41 Shipping
Condition: Used
Location: Leander, United States
(14) Semiconductor Silicon SiC IC Wafer 150mm. The carrier is used but the wafers are new. The wafer has a pattern of grind marks on the back side. This ... moremakes an excellent display/modern art decoration, an educational study object, or a great gift for the engineer in your family!
$
44.99
Buy It Now
$6.16 Shipping
Condition: Used
Location: Corona, United States
8" SILICON WAFER RECLAIMED #33 THESE ARE USED SILICON WAFERS. THEY MAY HAVE MINOR COSMETIC IMPERFECTIONS. IF YOU HAVE ANY ISSUES WITH YOUR PURCHASE, CONTACT ... moreUS WITHIN 30 DAYS OF DELIVERY FOR ASSISTANCE. IF YOU HAVE ANY QUESTIONS, CONTACT US BEFORE PURCHAS
$
12250.68
Buy It Now
$6.55 Shipping
Condition: Used
Location: Buda, United States
Brooks/Equipe/PRI (For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. SN: 6047-005,TW34847. Five-one-two-nine-two-eight-five-five-five-three ... moreCorporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. ).
$
34.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Compatible Wafer Size: 300mm. Wafer Slots: 25. Does Not Include Robotic Flange. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated ... morewith the manufacturer of this product. Original manufacturer's warranty does not apply.
$
15950.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
Capacity for Image Reversal: 4 cassettes of 4” wafers, or 1 cassette of 5-8” wafers. Throughput for Image Reversal: (2) ½ loads/hr.
$
32.49
Buy It Now
$12.45 Shipping
Condition: Used
Location: Eden Prairie, United States
Wafer mask carriers have been used but are in good physical condition. Comes with 8 units total. 6 are part number H60-50 and 2 are part number PM500. ... moreI believe (but cannot guarantee) that the H60-50 ones are made by Fluoroware. Does not come with anything other than what is shown and does not come with any accessories.Unfortunately, I cannot offer any returns on this item and it is being sold as-is.Feel free to ask questions; I will do my best to get back to you within 24 hours. Thanks for looking!Shipped via USPS ground advantage mail.
$
100.68
Buy It Now
$7.92 Shipping
Condition: New – Open box
Location: Buda, United States
Novellus C-1. SN: TWA-12759. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct ... moreLine. Nicole Jackson at getsparesllc. (See Hours of Operation, above).
$
75.00
Buy It Now
$11.70 Shipping
Condition: Used
Location: Corona, United States
THESE ARE USED SILICON WAFERS. SEE PHOTOS FOR COMPLETE DETAILS.
$
295.00
Buy It Now
Free Shipping
Condition: New
Location: Boulder, United States
1 2 3 4 5 6 7 8 9 10
 In 

Former Listings  
 
8"/200mm SILICON WAFER WITH MEMORY PATTERN SUPERB REFLECTIVE EYE CANDY FLASH +++
8"200mm SILICON WAFER WITH MEMORY PATTERN SUPERB REFLECTIVE EYE CANDY FLASH +++ THE PHOTOS SAY IT ALL… Each Buy It Now winner will get 1(one) beautiful 8" or 200mm etched silicon wafer. I believe that the devices are memory dies but cannot be sure as my microscope if not good enough to get the full detail. To my amateur eye it looks like it could be FLASH memory- almost certainly it is memory of some sort. These are manufacturing pulled wafers- 100% perfect wafers would cost in excess of $500(US Dollars) and in any case would not be for sale on eBay as the manufacturer always destroys any surplus wafers. There will be some imperfections and micro scratches and this is just part and parcel of buying used wafers- you gotta live with it! The gallery photo shows how this wafer looks under halogen lighting- stunning eh? The second and ... morethird photos are of the wafer under a simple neon tube desk lamp. Note the lovely blue oxide finish on the reverse. The other photos are of the wafer die pattern under my cheapo USB microscope. Wafer will be well packed with plenty of bubble and space to breathe- posting is by Registered Airmail with a tracking number that can be used to trace the item. gsrx_vers_476(GS 6.4.4(476)
Applied Materials AMAT 0010-10327 8" ESC Wafer Chuck MXP+ 200mm 0020-32909
Questions? Call us: 1-877-328-9236. Applied Materials AMAT 0010-10327 8" ESC Wafer Chuck MXP+ 200mm 0020-32909. Manufacturer: Applied Materials AMAT Model: 0010-10327 Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Applied Materials AMAT Condition: Used. Comes in original packaging. This ESC chuck is in good condition with a few very minor little scuffs and scratches from normal use. But nothing major. Notes: This ESC chuck was removed from a working system that was being decommissioned. But was not tested separately after removal. No manuals, cords/cables, or additional items are included if not listed or shown. Included: 1) Applied Materials AMAT 0010-10327 200mm ESC Wafer Chuck MXP+, Specifications: Manufacturer: Applied Materials(AMAT) AMAT Part# 0010-10327, Alt. AMAT Part# 0020-32909, ... moreRevision: Rev. B, Description: ESC Wafer Chuck, Wafer Size: 8" 200mm) Condition: Used, Quantity: 1, SKU: N14P002 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing
Silicon Wafer Wafers 8" (200mm) with Pattern
Powered by Frooition Pro Shop Search. Click to close full size. Item Description. For sale is one(1) 200mm(8" silicon wafer. This wafer has a great pattern with some small areas that look like they are overpolished near the edge of the wafer. We do not have any other information these wafers. Please ask any questions before bidding and good luck. Powered by The free listing tool. List your items fast and easy and manage your active items. Sellebrity Analytics. About Us ML Solar. LLC operates as manufacturer and wholesale distributor specializing in the solar and renewable energy marketplace. We are located in Silicon Valley, which continues to be the leading hub for high-tech innovation and development. Our product lines range in assisting the weekend DIY'ers to light commercial construction projects with the highest quality products ... moreavailable on the market today. With the combined years of experience in the solar industry and NABCEP certification, we are dedicated to fulfilling all of our customers' solar needs. Payment Payments for auctions must be made within TWO days of auction closing. We accept payments by PayPal and credit cards processed through PayPal. Wire transfers are accepted for payments over US$3000.00. Shipping We ship everyday Monday through Friday. If you have payment made by 1pm PST the chances are it will ship that day. We DO ship to APO/FPO and Post Office box addresses. To Alaska and Hawaii and to all countries around the world. Buyers are responsible for any international customs and duties that may apply. We can only ship to the address we receive from PayPal. We CANNOT ship to any address you give us over the phone. Email or eBay message. PLEASE MAKE SURE YOU CHOOSE THE CORRECT ADDRESS WHEN YOU MAKE THE PAYMENT. WE WILL NOT BE ABLE TO CHANGE THE SHIPPING ADDRESS AFTER PAYMENT IS MADE. Sometimes we need to contact you for additional verification. This may happen if your PayPal address is unconfirmed or if your credit card needs additional verification. Or in other occasions. P
8" SILICON WAFER 0120208-02
8" SILICON WAFER 0120208-02 Description For sale is one 8" SILICON WAFER 0120208-02 CONDITION: This item was pulled from a working environment and is guaranteed non-doa under our 14 day return policy. The wafer seems to be in great condition. AUCTION INCLUDES: This sale includes only what is shown in the photos. We do not includes any additional accessories or software with this item. Only items pictured are included. If a part is not pictured or mentioned in the description then it is not included in the sale. If you are unsure about any aspect of this item please send an email and ask questions before bidding. We do offer combined shipping discounts and free local pickup. Email for details. OceanTech WE BUY& SELL IT EQUIPMENT Guarantee 14 DAY RETURN POLICY: We want our Customers to be happy with their purchases. So customers ... moreare welcome to return items within the first 14 days of receiving the item if they are unhappy with the item. There will be a 20% restocking fee on any 2nd return from the same customer that are not due to a hardware failure within the first 14 days of receiving the item. There will be no restocking fee for items that break in transit or have a hardware failure in the first seven days the customer receives it. Buyer is responsible for return shipping charges unless there was a hardware failure We will not issue refunds for items we deemed tampered with or are dissembled by the customer. Our Customer Support We do our best to ensure that each and every transaction is free of problems and issues. If you have any questions or concerns we are here to help. Per eBay's policy and guidelines you must contact us before opening a case. We ask that you only open a case as a last resort and will do everything we can to prevent you from needing to do so. If you would like to Contact us! Please use the eBay message system under the"contact seller" hyperlink. Hours: We are open Monday-Friday. 9am-5pm Central Time. We are closed on Weekends and all Major Holidays. We rarely exc
LOT of 25! 8" (200 mm) Silicon Wafer Wafers With Great Pattern
Winner of this Bid will Receive 25 Copper 8" Silicon Wafers plus the plastic wafer holder. WHAT A DEAL! Any questions feel free to email.
200mm (8") Single Silicon Wafer carriers (three carriers)
For sale here are three 200mm(8" silicon wafer carriers. These three carriers are in a sealed package. I will ship via USPS Flat Rate box to any destination in the USA. Note: The wafer in the second photo is NOT included. Just the three Wafer Carriers.
Allied TechPrep 10-1000 Grinding Polisher with 8” Platen Wafer Grinder/ Warranty
Payment Policy Brand New Sony CyberShot 8 MP Di Allied TechPrep 10-1000-230 Polisher Wafer Grinding Lapping Polishing/ Warranty Attention All Buyers If there is anything wrong with the item that you have purchased. Please do not leave negative feedback and do not open any disputes with eBay or PayPal. Just contact us directly and we will fix the problem quickly. Item Description Allied TechPrep 10-1000-230 Polisher Wafer Grinding Lapping Polishing/ Warranty Used Clean Working Condition Barely Used Excellent Condition Allied High Tech Products TechPrep Wafer Grinding. Lapping and Polishing Machine, Variable Speed, Single 8" Platen Grinder/ Lapping/ Polisher Machine Part No. 10-1000-230 Variable Speed max: 350 RPM Voltage: 230V. 1.6 Amp, 50/60 Hz With 8" Platen Probe and AC Power Cord Power: 200W/ 200 Watts. Single Phase mfg date: ... more12/00 Weight: 75 Lbs Serial No. 545-230 Item Condition Used Clean Working Condition Actual Picture of the item is provided. What you see in the picture(s) is what you get Note: In Quantity Auctions. The serial number of the item that you receive may vary from the item shown above. Payment Policy 1) PayPal: We accept PayPal from all verified accounts. Note: For large payments or payments from some foreign countries we may require other electronic means of payment. 2) Credit Cards: We accept credit card payments through PayPal only. 3) Checkout: All US buyers should follow eBay checkout process to receive the proper invoice. International buyers should contact us to for an update on the shipping costs before they can follow eBay checkout. 4) Sales Tax: Californian buyers pay a Sales Tax of 8.625% unless a valid Resale Certificate or Tax exemption Certificate number is remitted by email or fax prior to submitting payment. 5) Checkout: An automated Non-Paying Bidder Alert will be filed if payment is not received in 4 days from the end of the auction. 6) Questions: For any questions. Please contact us directly from the auction page click on our ID(supertechshop) and then
8" VACUUM WAFER CHUCK GOLD w/ VEXTA A2950-9212 2 PHASE STEPPING MOTOR
Descriptions Used condition. Pulled from a system, the chuck gold is crack a little on the photo, sell for repair or for part, sell as is 8" Vacuum Wafer Chuck Gold with VEXTA A2950-9212 2 Phase Stepping Motor. 4V 1.1A Phase, 1.8DEG/ STEP Please look over on the 6 photos Local pick up free Used Unit. picture shown. Warranty Item sold as is. No warranty, no return Payment Paypal, Cashier check Personal/Company check(Note: Personal/company check will be held 5-8 business days for clearance. If you preferred to pay by credit credit(VISA/MC) Please register with Paypal; for their FREE online payment service. 8.250% sales tax will be applied if you are a California resident. Shipping& Handling Buyer pays fix amount shipping cost $40 in the 48 states. Canada. Alaska and Hawaii pay actual shipping cost Terms Payments must be received within ... more7 business days after our confirmation was sent to all winning bidder. Contact Business Hour: Monday- Friday 9:00am- 6:00pm PST
Leica Ergoplan MIS-200 8" Wafer Inspection Microscope
Questions? Call us: 1-877-328-9236. Leica Ergoplan MIS-200 8" Wafer Inspection Microscope. Manufacturer: Various Model: Various Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Various Condition: Used. There is a bit of tough-to-remove splatter on the stage. Otherwise the microscope is in very nice overall condition with normal wear only. Notes: This Leica MIS-200 wafer inspection station with Leitz Ergoplan microscope is used. Checked, and in excellent condition. All controls operate smoothly. The computer powers up to vacuum error(we do not have vacuum available) No manuals, cords/cables, or additional items are included if not listed or shown. Included: 1) Leica Ergoplan MIS-200 8" Wafer Inspection Microscope, 2) Manuals- both on cleanroom paper Leitz MIS-200 Service Information Manual ... moreLeica Scanning Stages Service Information Manual Specifications: Manufacturer: Leica/Leitz, Model: MIS-200/Ergoplan, Date of manufacture: 1992, Total hours: 41466, Options: See photos. One 8" cassette station only, Power requirements: 220VAC single phase. Vacuum, Configuration: ICR Filter 573098, ICR Filter 573097, Leitz Periplan GW 10x/26 High focal point M eyepieces, Leitz PL FLUOTAR 5X/0.12, Leitz 567015* LP FLUOTAR 10X/0.25 D, Leitz 567017*0 PL FLUOTAR 50X/0.85 D, Leitz 567024*0 PL APO 100X/0.90 D, Leitz 567043*0 PL APO 150X/0.90 D, Stage 026-407.144/20606 with removable 7.5" x 7.5" Vacuum Chuck insert, Joystick 301-3603147/283 572086, RMX-Controller Computer 301-364.081/00158, Power distribution box 301-364.060/169 208/230VAC 50/60Hz 10A 2100VA, Stage Drive 301-365.001, SKU: J26X002 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Bes
Kulicke & Soffa 960-8 Manual Wafer Mounter Manual Taper for Parts/Repair
Questions? Call us: 1-877-328-9236. Kulicke& Soffa 960-8 Manual Wafer Mounter Manual Taper for Parts/Repair. Manufacturer: Kulicke and Soffa K&S Model: 960-8 Condition: For Parts or Not Working Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Kulicke and Soffa K&S Condition: For Parts or Not Working. Comes in non-original packaging. This mounter is incomplete. But what is there is in Good cosmetic condition. The film area cover is missing. There are light scuffs/scratches all over from prior use. The back camera window in the chuck is loose and badly chipped. The most worrisome item is that the rotation adjustment gear and its bearings are loose, flapping around in the breeze under the machine(see photo) Also one of the camera illuminator fibers is somewhat crushed. There are no drive belts anywhere(missing) ... moreNotes: This unit is in PARTIAL working condition. The temperature controller turns on but the chuck does not heat. The chuck rotates freely when turned by hand. Without power supplies we cannot check the cameras. The cutter slides freely from side to side. And the Chapman anti-static bar sizzles and smells like ozone. No other testing was done. No manuals, cords/cables, or additional items are included if not listed or shown. Included: 1) K&S 960-8 mounter, Specifications: Manufacturer: Kulicke& Soffa, Model: 960-8, Manual wafer taper/mounter, For 4/5/6" wafers, Rotating chuck, Teflon coated chuck, Spring-loaded platen for optimum contact pressure, Dual cameras, Manufactured: 1997, SKU: M21D006 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are ship
AMAT 0010-03345 WxZ Heater Wafer Pedestal 8"/200mm JHT
Questions? Call us: 1-877-328-9236. AMAT 0010-03345 WxZ Heater Wafer Pedestal 8"200mm JHT. Manufacturer: Applied Materials AMAT Model: 0010-03345 Condition: Refurbished by Seller Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Applied Materials AMAT Condition: Refurbished by Seller. Comes in factory sealed packaging. Only to be opened in class 1000 clean room or better. Handle with gloves. Notes: This item has not been tested. This part has not been flushed. Bake time: 15.5 hours. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) AMAT 0010-03345 8" 200mm Heater pedestal, Specifications: Manufactured for Applied Materials, AMAT Part Number: 0010-03345, WxZ Heater Pedestal Wafer Processing, 8" 200 mm, AMJ Seasoning, Bake Time: 15.5 hours, SKU: L32J024 ... moreFor questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. DougDeals does not ship on buyer accounts or allow the buyer to arrange shipping. Shipment must be arranged through
LOT of 24! 8" (200 mm) Silicon Wafer Wafers With Great Pattern
Winner of this Bid will Receive 24 Copper 8" Silicon Wafers plus the plastic wafer holder. WHAT A DEAL! Any questions feel free to email.
Laurier DS6000-8 DS-6000 6" 150mm Wafer Chip Die Sorter
Questions? Call us: 1-877-328-9236. Laurier DS6000-8 DS-6000 6" 150mm Wafer Chip Die Sorter. Manufacturer: Laurier Model: DS-6000-8 Condition: For Parts or Not Working Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Laurier Condition: For Parts or Not Working. Cosmetic condition is Good with lots of minor blemishes from use. A few cover panels are loose or missing. The Cognex 1500 has the front panel off and only one card is present inside. Notes: We applied power and the arm in between the cameras rotated a few degrees and stayed in position. The right monitor came on and gave a"No boot device available" error. The illuminators for the cameras both adjust from dim to bright light. The camera system never gave any video on the screen. Without software to run the machine. No further testing could ... morebe done. No manuals, cords/cables, or additional items are included if not listed or shown. Included: 1) Laurier DS6000-8 Wafer Chip Die Sorter, Specifications: Manufacturer: Laurier, Model: DS-6000-8, Die sorter, For up to 6" wafers, Dual cameras, Camera lenses: Optem Zoom 65, Cameras: Panasonic WV-BL202, Power: 208V. 5A, 50/60Hz, Plus air& vacuum, SKU: L35D002 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. S
TEL Tokyo Electron LTD P-8 Automatic Wafer Prober
TEL Tokyo Electron LTD P8 Automatic Wafer Prober This item is exclusively for parts only. It has a chuck and overall has not been stripped much. This item is sold as is/where is. We are price-flexible. Contact/visit us at your most convenience. QUALITY EQUIPMENT SOURCE LLC is a surplus company with approximately 50.000sq ft of warehousing, where you will find almost any type of Semiconductor Equipment or other. Back-end, front-end, metrology and facility equipment we especialize and take pride in delivering to you the best in the market. k5n.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B26rkpg%7C%3Ek5n-13ef8a578f7-0xf7-
R98224 Xynetics Electroglas Model 2001X 8" Wafer Probe Prober Station
R98224 Xynetics Electroglas Model 2001X 8" Wafer Probe Prober Station(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# R98224 This Xynetics Electroglas Model 2001X 8" Wafer Probe Prober Station is in fair cosmetic condition with many signs of prior use and wear. It comes as shown in the photos and it has not been powered on. Many pieces are missing. However. I lack the knowledge or experience to test this unit, so due to no testing, it is being sold as-is for Parts or Repair. Please see photos for more detail. Item comes exactly as shown in the photos above. No other accessories are included. If you do not see it in the photos. It is not included. Unpacked dems 45"x32"x44" Please ask questions ... moreor indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below. Shipping LTL Freight. The unpacked weight of this item is 496.00 Pounds Buyer pays freight plus a fixed handling fee of $25.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) Freight charges are prepaid and will be billed based on the buyers confirmed shipping address. To avoid invoicing delays on freight items. Winning bidders should contact us through eBay with their confirmed ship to address and details on the following: Company Name: Contact Person: Contact Phone Number: Ship to Address. City, State and Zip Code: Country: Business or Residential Delivery? Is there a Dock or Forklift available? Delivery Hours: Lift-gate Delivery required? Are there any delivery restrictions? Are we delivering to a University. Strip Mall or Inside Delivery? Freight quotes and charges will be billed within 3-5 business days of receipt of shipping details above(please note international freight quotes may take longer) Frei
SensArray KLA Wafer Probe 1840A-8-5004A (B3)
for your viewing today for your viewing today. SensArray KLA Wafer Probe 1840A-8-5004A Came from Palomar Technologies consists of the probe and the standard. The standard is 1375ohms I do not know this equipment and its condition so please check the images to see if it is what you need and or if it is complete It was bagged and in good condition as shown here Sold AS-IS Click on photos for a larger view This product is listed as. Warranty? New Oem Boxed Surplus New Opened Boxed Surplus( Kephis Packaging) Used Tested( Kephis Packaging) Used Not Working Sold AS-IS For Parts Only Sold AS-IS AS-IS Unknown( Tell me what it is and get a 10% storewide credit coupon) We will entertain any reasonable offers on single and multiple item listings of 50% and above! Mail us for purchase of separate auction listings For the most part. I do not buy scrap ... morelots, I look for and buy selected inventory in good condition that I believe my customers would like. Many products are things that I have been asked for by you so let me know if I can find something out this way for you. I ship out quickly and pack with antistatic bags. Bubble wrap and even wrapped with paper They should be safe! All Items listed are sold AS-IS Unless stated otherwise in the description. Any information that I offer for use is given/ sold as-is. Use or take at your own risk. Please check that the listed item is what you need. As all items are sold"as is" Payment to be within 7 days from close of auction. I Reserve the right to change shippers. Shipping to Confirmed Paypal address only. Customs Documents will not be falsified. Cost will be listed as set by EBay Checkout. YOU are responsible to know your own country's duties and taxes. Rates/policies before you buy. Due to the changing levels of service and care provided by the postal services. We cannot guarantee delivery outside the United States. Insurance is strongly suggested, may be required and some can not be insured. Also if Item is lost or damaged refund will be for cost of purchase price
Wentworth Labs PML 8000 Analytical Manual 8" Probe Station Wafer Prober Mint
Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com- 201) 592-1864 Absolutely as New. Mint Condition W entworth Labs- P M L 8000 Analytical Manual Probe Station 8" Wafer Chuck/ 8" x 9" Stage Travel Mitut o yo FS70 1-2x Zoom Microscope( F S70Z-THS) Tilting. Ergonomic, Trinocular Head/ 50%50% Camera Port 10x Eye pie ces/ 10x& 20x Objectives Fiber Optic Illumination(Please note: This superb FS70 microscope- whi ch is the current model- cost s over $1 5.000 as conf ig ured above. Optio ns Av ailable at addit ional Cost: Additional O bje ctives. Micro Manipulators, Probe Card Holder. For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide. You can purchase with complete ... moreconfidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our facility is located in the metropolitan NYC area. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount.
8" wafer stage (mover) price is totally negotiable
8 inch wafer stage custom build by Dover Instruments for wafer inspection. Used but in great condition. It has a linear motor driven 8 inch X. Y axis with glass scale encoders. The base is made of solid granite for stability with the air bearings. Includes a 17" in rack mount for all the drivers. Dimentions of the granite base are: 34" X 27" X 6" and weighs around 600 pounds( local pick up would be optimal) This custom wafer stage was built in 1995 for around 250k. I realize I wont get close to that but any offer will be taken seriously. If you have any questions or would like to make an offer, feel free to give me a call or text at(831)359-0617 Thanks. Joe.
Quartz Wafer Boat for furnace 2 1/8 inch 25 slot
Listing is for one slightly used Quartz Wafer Boat for a furnace.The slot for the waffer is 2 1/8 inch wide& it has 25 slots for waffers. The over all length is about 5 1/2 inches& the over all width is about 3 inches.
Brooks Automation Wafer Transfer Robot+SERIES-8 Controller+TRAVERSER LM Drive
Search our eBay Store! Brooks Automation Wafer Transfer Robot+SERIES-8 Controller+TRAVERSER LM Drive SKU: JV-TER-B-BKTRAVERSER Condition: Used Packaging: Pallet/Skid Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge New Page 1 Brooks Automation 121668 Wafer Transfer Robot w/SERIES-8 Controller& TRAVERSER Linear Motion Drive Assembly PLEASE NOTE: THIS SYSTEM DOES NOT APPEAR TO BE COMPLETE. THIS LISTING ONLY INCLUDES WHAT IS PICTURED. PULLED FROM WORKING ENVIRONMENT System Includes: 1x Brooks Automation P/N 121668 Wafer Transfer Robot- This unit is in overall very good condition- Unit powers on with working internal fan. The ... moregreen LED lights up. Digital pressure gauge works. The MAP LED on the top hand lights up green. The module on the lower hand powers on. Both hands have residue spots. 1x Brooks Automation P/N 108199 SERIES 8 Controller- This unit is in good condition. The front face label is beginning to peel on the lower right hand corner. Unit powers on with working internal fan. POWER. MOTORS and 24V OK LEDs light up. Please see photos for the included cables. Power cable is also included. 1x Brooks Automation P/N 126482 TRAVERSER LM Drive Assembly(2140mm Stroke. S8) This assembly is in excellent condition. Rail length is 102.25 inches approx. Rail platform length is 103.5 inches approx. Overall Dimensions(L" x W" x H" 108 x 24 1/2 x 33 3/4 approx. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your
8" 200mm Silicon Copper Patterned Wafer Lot Of 6 Wafers
You are bidding on a lot of 6 copper patterned wafers. These are 200mm and they are in great condition. Not too much else to say. great for nostalgia. Framing, or whatever. Shipped in standard 25 wafer cassette box. Please ask questions if you have them. I accept Paypal only or cash if its a local pick up. I usually ship next day after payment is received or in some cases same day if time allows. Please US bidders only. I will NOT ship out of the country. Payments must be received within 5 days of close of auction. Happy bidding and thanks for looking. If you are a new bidder and you have ZERO feedback you must contact me first with intentions to bid or your bid/bids will be cancelled immediately.
C97176 Isel Robotik 8" Wafer Handling Robot 10-21-0015-7200-M001
This Isel Robotik 10-21-0015-7200-M001 Wafer Handling Robot with built-in Controller looks to be in good cosmetic condition. Showing some minor signs of wear. I plugged it in here at our facility, it powered up BUT the power light did not come on, the sensor on the arm emits a red laser beam as it should, if you put something like your hand in front of the beam the arm reacts. However, this is the extent of my testing of it, and it is being sold as-is. Approximate overall unpacked dimensions: 18"L x 17"W x 31"H.
Fortrend F-8225 8" 200mm 25 Wafer Transfer System F8225, XT200 to A192-81M
Fortrend F-8225 8" Wafer Transfer System(25 Wafer Capacity per Station) Comes with what you see in the pictures. If you don't see it. You probably wont get it. Specifications are from Fortrend Engineering and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. The unit is guaranteed to be in working condition. I went through all the functions in manual mode and they all worked. Multiple available. Only 1 sold in the auction. The Unit's Serial Number Tag Reads: Model Number: F-8225 Part Number: 114-1002 Serial Number: 1000-160 Air Pressure: 50 PSI Power Requirements: 120 VAC. 50/60 Hz, 1 A General Description: The Fortrend Wafer Transfer Systems are fully automated and reliable. The systems design reduces contamination and increases yield while increasing throughput by transferring wafer lots. ... moreThe small footprint makes them ideal for those areas where equipment space is limited. Our precise engineering and manufacturing produces a product for the Class 1 cleanroom and sub-micron geometries and serves all fab requirements including wet stations. Robotics and CMP applications or anywhere wafers are placed into process carriers. These systems can be integrated into process equipment for high throughput or used as stand alone equipment. For More Pictures Please Click the Following: For Domestic Customers: Packaging. Handling, and order processing included in shipping in all domestic shipments as quoted by the shipping calculator. For International Customers there is a $50.00 minimum for packaging, handling, and order processing. International shipping to be determined by destination. If you have any questions please email us at: or call Michael at 1-866-MHZ-ELEC(1-866-649-3532) Toll Free. Also. Please to view or other auctions! 10/18/12 Powered by The free listing tool. List your items fast and easy and manage your active items.
Electroglas Horizon 4085X Automatic Wafer Prober System 8", 5 Module, + Extras
Electroglas 4085X Horizon Wafer Prober System Unit is in excellent condition inside and out. System is ready for production use. Unit has had minimal use. Has been previously calibrated. There are some light scuffs/scratches on the exterior panels from being on production line. Very minimal and cosmetic only(see images) All PCB's show no signs of wear. Certificate of decontamination will be provided. Some components will be removed. Such as the monitor/light tower/cables/etc for shipping purposes. They will be wrapped and protected during shipping to ensure they are not damaged. Overall the system will arrive complete and ready to be installed for production use. Installed Components: 8" Chuck with Test head Lifter Assembly RMHM4 Controller DCM3 Display Control Module PCM Prober Control Module PRM3 Vivion Module Pneumatic Module ... moreOptem 25-81-22 HF Video Microscope Optem 28-90-77 Mounting Fixture Optem Illumination Supplies(QTY: 2) Panasonic GP-KS162CUD Camera Controller LCD Monitor Same Day Handling Time! Returns: Please contact directly if there is an issue with your order. Our goal is to make sure you are satisfied and we would like to resolve any issues you may have. We offer a 14 day return policy. If items are to be returned. Unit must be returned unopened in original packaging and without signs of abuse or damage. If item is opened or used and returned, it is subject to a 30% restocking fee and subject to approval. Items marked"for parts or not working" are not returnable due to product not functioning. Shipping is non-refundable unless due to an error on our part with your order. Return shipping to be paid by buyer. Please contact with additional questions. Additional Checkout Instructions: Buyer is aware that sale is as-is and that there is no warranty either expressed or implied by this seller. Any service or warranty issues will be handled through the manufacturer. Buyer has 60 days to for items to be claimed if returned by courier for non-deliverable shipments. Ships freight. We can p
Entegris Fluoroware A192-80M PFA Wafer Cassette 8" 200mm
You are bidding on a Entegris Fluoroware PFA high purity wafer cassette part number A192-80M. Holds 25 each 200mm wafers. Excellent condition. Please ask questions if you have them. I accept Paypal only or cash if its a local pick up. I usually ship next day after payment is received or in some cases same day if time allows. Please US bidders only. I will NOT ship out of the country. Payments must be received within 5 days of close of auction. Happy bidding and thanks for looking. If you are a new bidder and you have ZERO feedback you must contact me first with intentions to bid or your bid/bids will be cancelled immediately.
200mm Silicon Wafers Box / Cassette Of 25 Semiconductor 8" Wafer
You are bidding on a full cassette of 25 silicon wafers. These are 8" or 200mm wafers. I have over 50 boxes available. If you ask me particulars about the wafers I probably won't be much help. Some are polished some are not. Some have copper coatings and a few have patterns but not many. None of them have flats on them. Some have multiple color hue's to them. Each quantity you purchase is for 1 box of 25. If you want a particular wafer will do my best to dig them out but for the most part I will ship the first box from the pile. Please ask questions if you have them. I accept Paypal only or cash if its a local pick up. I usually ship next day after payment is received or in some cases same day if time allows. Please US bidders only. I will NOT ship out of the country. Payments must be received within 5 days of close of auction. ... moreHappy bidding and thanks for looking. If you are a new bidder and you have ZERO feedback you must contact me first with intentions to bid or your bid/bids will be cancelled immediately.
Ultron Systems UH118 Wafer Scrubber/ 8" - 12" w/ Warranty
Ultron Systems. Inc. UH118 Wafer Cleaning System 115VAC/10A.1-Ph.50-60Hz. This system is in"as new" condition. Sold with a full warranty. Normal 0 For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for over 35 years. You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our 50,000 square foot facility is located in the metropolitan NYC area. Normal 0 Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment ... morearrangements. Manufacturer's Description: Normal 0 MicrosoftInternetExplorer4 The Model UH118 Wafer Cleaning System fol­lows in the footsteps of its highly successful sibling. The Model UH117, and provides an op­timal solution for cleaning wafers after dicing or scribing. The unit is capable of cleaning 8-inch film frame-mounted wafers. Operation is semiautomatic and fully programmable via a touchscreen LCD panel, further eliminating operator variables. The system is microprocessor-controlled to assure precise. Flexible, uniform, and re­peatable cleaning. Like the Model UH117, up to 10 programs with up to 99 different cleaning/rinsing/drying steps of up to 999 seconds maximum can be stored for differ­ent processes. However, the Model UH118 boasts programmable brush speed and an electronically adjustable workstage height(with built-in safety interlock) by entering the substrate thickness. The Model UH118 Wafer Cleaning System pro­vides dual liquid additive capability through independent channels. In addition to the separate DI water channel. For more efficient drying, the unit is equipped with a dual heater system and a new 300 rpm air knife-assisted
Empak 8" Wafer Carrier
This is in excellent condition. Holds 25 8" wafers Paypal required and buyer pays shipping of $14.99
Okamoto Wafer Backside Grinder Model VG502MK2 -8 / VG502MKII-8 200mm
OKAMOTO VG502MK2-8 WAFER BACKSIDE GRINDER System was previously owned by major memory manufacturer. Whose entire 8" line was decommissioned. This system was on a maintenance contract with Okamoto, and was in full operational condition at the time it was deinstalled. This system is available for inspection at our Metro NYC area facility. We can also arrange for inspection under power. As well as installation. Please contact us for full details- including extensive photo sets. The photo above. Of course, is a stock photo. For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for over 35 years. Our 50,000 square foot facility is located in the metropolitan NYC area. Feel free to contact us for additional information through ebay mail. ... moreOr directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements.
AIS automated imaging systems CONVEY 8 WAFER SORTER
21125 Up for sale is our AIS Convey 8 Advanced Imaging Systems Convey 8 wafer sorter. 200mm computer controlled CE marked. Excellent condition, sold as-is, where-is. Currently stored in our Germany warehouse. We can help arrange shipping if needed. Please make any reasonable offer on this great condition wafer sorter. Thanks for looking and happy bidding! Manufacturer: AIS Model: CONVEY 8 Type: WAFER SORTER Version: 200 MM Condition: excellent Vintage: Feb 2006 Sale condition: inquire Sales price: 20.000 USD Quantity: 1 Comments: AIS AUTOIMATED IMAGING SYSTEMS WAFER SORTER FOR 200 MM WAFERS COMPUTER CONTROLLED CE MARKED German Warhouse SECS/GEM LUDL ROBOT JOYSTICK LUDL PN 73000361 MAC2 XYZ JOYSTICK CONTROLLER LUDL PN 7300203 MAC22 18 INCH RACK FITTED WITH OCR QTY 3 8 INCH WAFER CASSETTE LOADERS DIMENSIONS ON BASE: 137 CM X 160 CM X 200 CM ... moreWEIGHT 300 KG
Oerlikon/Leybold 6"/8" single wafer sputtering system
Oerlikon/Leybold 6"8" wafer sputtering system for R&D or production. Made in 2004 and stop production in 2007. Equipment looks new. Welcome for inspection machine) Machine including: One sputtering unit and control cabinet Inificon AG Balzers VAP025-A, BERGER LAHR VRDM368/50LWC, Inficon pkr251, Leybold T 1600 Tubo Pump, MKS 1179BX Flow control, E700 control panel, AE Pinnacle 3000 3152470-100a Power Berger Lahr wdp3-014.0801 Driver Oerlikon ARQ 151 Cathode Other devices. Machine sold as it is, di,RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bishhov%3C%3Edi-14300f5de32-0xfb-
(dm 1) 8" Wafer Plate Chuck
This item is untested and being sold as is. It is in good cosmetic condition and includes only what you see in the photos. Thanks for looking! If you have any questions. Just send me an email(please note we are not able to answer questions on Saturdays, but they will be answered on Sunday) Thanks again and take care! NOTE ON SHIPPING: Shipping is quoted for the contiguous 48 states. All others and international bidders pay actual. Just email me for a quote. Buy it Now items will be shipped within 3 business days of completed payment. NOTE FOR INTERNATIONAL CUSTOMERS: All customs fees. Duties, import taxes, and related charges are solely your responsibility to pay. We have no ability to estimate those cost so please contact your local post office prior to bidding to understand your financial responsibilities upon arrival. The number code in ... moreparenthesis at the beginning of the title is an internal company inventory code. It is in no way affiliated with the actual title. Please do not hesitate to contact us with any questions or comments. Prompt. Friendly, and accurate service is our focus.
Applied Materials 8" wafer ORIENTER P5000/CENTURA 0010-70131
Applied Materials 8" wafer ORIENTER P5000/CENTURA 0010-70131 Other part# on the chamber are 0300-76024.0100-20068 Item is sold as is. Please feel free to ask any question.
Silicon Wafer 8 inch RM7000 MIPS CPU Circa 1999
For sale one Vintage MIPS RM7000 CPU 8 inch wafer designed by Quantum Effect Devices in 1999. The RM7000 is one beautiful wafer for a collectors display too. Key features of the RM7000 included: superscalar instruction issue with dual-integer issue, non-blocking caches. 16KB instruction cache and 16KB data cache primary(L1) caches, both 4-way set associative. And an integrated on-chip 256KB 4-way set associative, non-blocking L2 cache. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2Bf7%60%3E-1432e0d4e4c-0x103-
MJC 200mm wafer prober, 8" Wafer Prober
MJC wafer prober MJC Wafer Prober for up to 200mm Wafers Includes calibrated B&L stereo zoom 7 optics. 336.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3E336-1432ef882a7-0x104-
300 mm Silicon Single Wafer Shipper 12" , Entegris H93-120-101. Lot of 8 Qty.
Eight quantity polycarbonate silicon wafer shippers or sample trays in like new condition. Some of these were never used. And a few were very lightly used. No scratches are evident on any of the shippers. For some reason, two of the internal cushions are missing on one of the shippers. Eight-cushion wafer retention system holds the wafer securely during storage and shipping Wafer cushions hold the wafer edge only. Limiting wafer surface contact Dual lever latches provide secure. Reliable closure Stacking features allow shippers to stack safely and efficiently Additional packaging required to protect wafers during shipment Designed for wafer device-side down for simple wafer insertion and removal Clear polycarbonate material allows easy wafer viewing Multiple access areas simplify wafer insertion and removal with a vacuum wand or tweezers ... morePin in socket hinges allow the shipper to be opened horizontally. Providing stability for wafer handling Keyed cushions assemble only one way for simple cushion replacement Smooth surfaces allow efficient cleaning and drying The H93 Series 300mm Single Wafer Shipper is a component of Silicon Delivery™ Systems and Services– Entegris’ unique materials integrity management solution to cost effectively manage silicon from production to consumption. This offering brings together wafer and device handling systems and services into a customized. Coordinated package that works for you. Cleanroom manufactured and packaged Overall size(L × W × H) 336mm × 340mm × 50mm(13.2" × 13.4" × 1.9" Shipper material: polycarbonate Alternative shipper material: STAT-PRO ® 500. For availability Cushion material: polypropylene Shipping to lower 48. US Only
(jn 0) 4 5/8" Gold Probing Prober Station Wafer Chuck
This wafer chuck is in good used condition with some definite marks and scratches. This unit is mounted to a 4"x4" base and has multiple cut wires and hoses coming from it. I have no way to test the unit so it is being sold as is. Thank you for visiting our on-line store! If you have any questions. Just send us an email through eBay messaging. We strive to answer all questions as soon as possible. NOTE ON SHIPPING: Shipping is free for all U.S. customers living in the lower 48 contiguous states. All items will be shipped within 1 business day of completed payment. If you live in Alaska. Hawaii, or Puerto Rico, your item will be shipped via USPS Parcel Select and can take up to 9 days to arrive. If you would like the item shipped USPS Priority Mail. Please send us an email through eBay for additional shipping charges. NOTE FOR INTERNATIONAL ... moreCUSTOMERS: Please send us an email through eBay for a quote on shipping. All customs fees. Duties, import taxes, and related charges are solely your responsibility to pay. We have no ability to estimate those cost so please contact your local post office prior to bidding to understand your financial responsibilities upon arrival. The number code in parenthesis at the beginning of the title is an internal company inventory code. It is in no way affiliated with the actual title. Please do not hesitate to contact us with any questions or comments. Prompt. Friendly, and accurate service is our main priority.
AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"200mm NEW? Purchased as new inventory spares. Do not have original packaging so cannot verify. Appears new. We did notice one scratch on the outside metal. Which you can see in the picture with the tape measure on the side. Since we don't have the original packaging and can't verify condition. Are selling at used price As Is. See pictures for condition. Appears new. Check Out: Purchase: Making purchases is easy and simple. To place an order for procurement. Please click the“Buy It Now” button. Follow to the next page for payment instructions. Payment: Click the“Pay Now” button at the top of the E-Bay page to proceed with the checkout and payment process. Payment must be made within 5 days of purchase. Accepted Forms: We accept PayPal. Or you may pay on your credit card via PayPal. When ... morepaying via PayPal. Payments must include your shipping address and should be submitted via the E-bay payment process. Sales Tax: For California customers. Sales tax is added and depends upon your location. If you are tax exempt. Please provide a copy of your Tax Exempt Certificate prior to check out. Please do not send payment before receiving a revised invoice. Shipping: Shipping rates are the responsibility of the customer and are not refundable. Rates include handling. Packaging materials, label scanning and tracking information. Shipping rates are based upon the weight and dimensions of the package and your location. If we have packaging materials for smaller items. We include packaging and handling at no charge. If they are unavailable, we charge our cost. For larger capital equipment, please contact us for crating costs and exact weight prior to shipment as the crate will add weight to the tool set. Insurance: Should you prefer insurance on your item. Please inform us PRIOR to check out so this may be added to the shipping cost. Insurance is not added unless specifically requested by the buyer. Processing Time: Once payment has cleared. We make every effort to ship your
Semitool 8" Wafer Rotor ASSY A192-81M-02XX 280 HYDRO/ 500U0051-03
Semitool 8" Wafer Rotor ASSY A192-81M-02XX 280 HYDRO/ 500U0051-03 Item is sold as is. Please feel free to ask any questions regarding this item. International buyers are responsible for arranging own shipping we are responsible for packaging only.
LAM Research Focus Ring Wafer Clamp 8" 716-011830-008 Rev4 TCP 9600/4400 Ceramic
LAM Research Focus Ring. Wafer Clamp 716-011830-008 Rev.4 For 8" systems. 9600/4400 These are good used clean condition. Just returned from clean service. We have several of these. Please inquire about multiple quantities. Will expedite shipment if necessary. Will combine on shipping. Be sure to let us know what you need. Sales in Texas must include 8.25% sales tax or provide a copy of a resale certificate. We can use your FedEx. UPS or other account to ship if you provide an account number. We prefer shipping overseas using FedEx International Economy. Please contact us for shipping rates overseas. E0115
AMAT 8" WAFER ORIENTER, 0010-70131
AMAT 8" WAFER ORIENTER. 0010-70131 Inventory#10001 This is genuine AMAT part and used OEM Specifications: AMAT PN 0010-70131 SN# Sales Conditions: USED OEM Item are showed as pictures above. 30 Days Warranty HOURS OF OPERATION: Office Hours: Monday- Friday: 9:00 AM- 6:00 PM Pacific Standard Time(PST) Delivery Hours: 10:00 AM- 4:00 PM Pacific Standard Time(PST) Close Saturday. Sunday and all major US holidays. SALE TERMS AND CONDITIONS: Only the pictured items are included in the listing. If it is not pictured or mentioned in the description it is not included. Place a bid only if you are serious about purchasing the item. If we(the sellers) are unable to establish contact with the winning bidder within 7 days of the end of the auction or if payment is not received within 7 days, the item(s) will be relisted at our discretion. PAYMENT ... moreINFORMATION: Payments are expected within 48 hours. Please contact us if payment can not be made within four days. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) A 9.25% Sales tax applies to California residents or provide a resale tax ID for our records. We will not process the order until we receive sales tax or a copy of your tax ID. SAME DAY SHIPPING POLICY: If you would like us to ship your item at the same day as your purchase. You can call us by 12:00 Noon, Pacific Standard Time at 408-492-1114. We will do our best your order to ship out at the same day. There will be a 15% expediting fee that will be charged. SHIPPING DETAILS: All items will be packaged or palletized and shipped via the best and most economical way as possible. Shipping and handling times can be vary based on the packing requirements and location accordingly. please allow us up to 5 business days for handling time. All tracking information is forwarded to the email address associated with your PayPal or eBay account. 1. DOMESTIC SHIPPING Contact name. Phone number and company name are required for shipping(items may be not shipped out un
8"INCH EMPAK ULTRAPAK 200mm WAFER CARRIER- PH9200- WITH 7 8"INCH WAFERS INSIDE-
8"INCH EMPAK ULTRAPAK 200mm WAFER CARRIER- PH9200- WITH 7 8"INCH WAFERS INSIDE- NOT SEALED THERE ARE A TOTAL OF 7 WAFERS INSIDE OF THE CARRIER- 1 IS A MAGENTA/PURPLE COLOR. 1 IS A GREY SEMI-RAINBOW COLOR& THE 3RD IS A SILVER PLATINUM LIKE COLOR- THE OTHER 4 ARE THE SILVER WHITE CHROME COLOR WITH A RETANGULAR SPACE NOT COVERED OR COLORED BY THE SILVER COLOR- THEY ARE NOT SEALED- THE LABEL ON THE WAFER CARRIER STATES: MB18287 1F K82C OTHER THAN THAT I HAVE NO OTHER INFORMATION
8" VACUUM WAFER CHUCK GOLD w/Technol Seven chuck Heater Y331-70 + PMM-BD-57065-1
Descriptions Used units. Good condition, pulled from a system, because the system fall down and bent out the chassis. These items no retest, sell as is, you will get what you see in the photos. Please look over in the 12 photos for more detail. 1) YOKOHAMA TECHNOL SEVEN CHUCK HEATER(TYPE) Y331-70(1) 8" VACUUM WAFER GOLD CHUCK with SANYO DENKI Stepping Motor 103H7521-7024(1) SANYO DENKI Pentasyn Model PMM-BD-57065 Local pick up free Used Units. picture shown. Warranty Items sold as is. No warranty, no return Payment Paypal, Cashier check Personal/Company check(Note: Personal/company check will be held 5-8 business days for clearance. If you preferred to pay by credit credit(VISA/MC) Please register with Paypal; for their FREE online payment service. 8.75% sales tax will be applied if you are a California resident. Shipping& Handling ... moreBuyer pays fix amount shipping cost $150 in the 48 states. Canada. Alaska and Hawaii pay actual shipping cost Terms Payments must be received within 7 business days after our confirmation was sent to all winning bidder. Contact Business Hour: Monday- Friday 9:00am- 6:00pm PST
SensArray Model: 1530D-8-0023 Thermocouple Process Wafer Probe. 8" Wafer J
SensArray Model: 1530D-8-0023 Thermocouple Process Wafer Probe. 8" Wafer Terms of Sale Systech. Inc. provides a 14-Day After Receipt of Product Warranty unless otherwise indicated. Buyer’s identified defective product shall be returned to Systech at Buyer’s expense. Buyer is responsible for obtaining return authorization from Seller. Full refund shall be issued after receipt. Inspection and serial number verification. Refund includes shipping paid outbound from Systech. Due to cost of administration. Purchased products returned due to no longer needed or Buyer purchased error shall be assessed 15% Unit Price) Restock Fee. Buyer is responsible for obtaining return authorization. Shipping paid outbound from Systech is not refundable. Systech ships via USPS and FedEx(Ground and Express) FOB Phoenix. Please contact Systech prior to paying ... morefor product if Express shipping is required. Buyer has option to select alternate transport carrier at Buyer’s expense. Buyer must arrange alternate shipping carrier. Acceptable Payment Methods are: PayPal; Visa; MasterCard or American Express. Credit Card payment is only accepted for domestic ship to locations. Unless otherwise approved by Systech. Local Pick up is acceptable. Applicable tax applies. We thank you in advance for shopping our Store and look forward to continued business with you. Powered by The free listing tool. List your items fast and easy and manage your active items.
8" X 9mm GROUND & POLISHED QUARTZ DISC/WAFER
THIS PIECE DOES SHOW SIGNS OF PRIOR USE. IT IS CHIP& ISSUE FREE. IT MEASURES 8" X 9 mm IT WEIGHS 1.4oz if you have any questions please feel free to message me
Empak Crystalpak 8" Wafer Shipper/Carrier
You are buying a Empak Crystalpak 8" Wafer Shipper/Carrier. Great Condition Used to transport up to 25 200mm wafers Manufactured form Polycabonate to ensure dimensional stability Complies with Semi ® Standards Patented Wafer Suspension System minimizes wafer roatation and particle generation Removable gasket protects against external contamination 10 Day Dead On Arrival Warranty Location: 113G.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf52%3E-1453406471d-0x10c-
ULTRON SYSTEMS WAFER/FRAME SERIES FILM APPLICATORS UH 114-8
ULTRON SYSTEMS WAFER/FRAME SERIES FILM APPLICATORS UH 114-8 Description. ULTRON SYSTEMS WAFER/FRAME SERIES FILM APPLICATORS UH 114-8 Our Manual Wafer/Frame Film Applicators offer a high degree of control and versatility for your mounting requirements in affordable and easy to use benchtop packages. A wide range of standard features and available options assure bubble-free lamination to all sizes of wafers and types of film frames. Normal 0 7.8 磅 0 2 false false false EN-US ZH-CN X-NONE NOTES no copy.No fake,no renew or rebulid, 100% genuine. in this item please confirm the right model number before you order it. if you have any questions please mail us before you purchase it. in this item we can provide you more photos in details if you wanna. this item just include what you can see in the picture, all the accessories are showwed in the photos. ... moreSHIPPING we shipped worldwide. we are located in Shanghai China. please left your phone number in the buyer details.It helps your local Customs do the declaration. please confirm your ebay address and paypal one are the same. FEEDBACK if our transaction is not to your satisfaction.Please contact us before leaving feedback. we will do our best to resolve the problem to your satisfaction in order to receive positive feedback. we believe good communication ensures a successful transaction.Giving both the best chance for a positive trading experience on ebay. if there is a problem.We will do our best to handle it with you together. if you have any advice about our shop.We are always hearing. eBay Cross Border Trade powered by Channel Effect. On Apr-07-14 at 06:55:25 PDT. Seller added the following information:
Lot of 10 semi Hepa pump gas resist scrubber bath dual tank wafer 8 pallets!
Lot of 10-
200mm - 8" Polished EM Ultra Silicon Wafer - Lot of 21qty in case -USED
200mm- 8" Polished EM Ultra Silicon Wafer- Lot of 21qty in case-USED These are use and have patterns as shown in pictures. However they did leave the clean room so these are as is. I don't know a lot about them. They could be used for wall patterns as well as other art projects. I will make sure to package them good for shipping so they don't break. Please see pics and bid with confidence. Shipping may change couriers depending on the items weight and where it's going. I only ship with USPS and FedEx. I will provide you with a tracking number. If you live in Alaska or Puerto Rico USA please contact me about shipping costs before you bid. Usually it is different. If you have any questions feel free to send me a message. I only ship international through the global shipping program. If your not on it please don't bid. I ... morewon't ship it if you win and will cancel the transaction. I have a 100% feedback. HAPPY BIDDINGS!
Silicon Wafer, 8 inch Nokia TO09L Power Managment Processor, Circa 2001
For sale one Nokia Cell Phone power manager CPU 8 inch wafer built by ST Micro. Nice looking iridescent gold tone wafer makes a beautiful display item. I've got a wide selection of other beautiful wafers with many colors and sizes in my store. Thanks for looking!
8 pack of Entegris Ultrapak 150mm Wafer Carrier PH9150 W/ WaferShield
1 case(contains 8 units) Entegris Ultrapak 150mm Wafer Carrier PH9150 W/ WaferShield MANUFACTURER Empak MANUFACTURER PART NUMBER PH9150 PRODUCT NAME Ultrapak 150mm with Wafer Carrier DESCRIPTION Empak PH9150 Ultrapak 150mm with Wafer Carrier. CONDITION Used SHIPPING WEIGHT 1.00 lbs These have been used. They are in good condition. Some have writing on the outside of the box. Refurbished 150mm Empak/Entegris Ultrapak PH9150 1 case = 8pcs. $7.00 each) does not include wafers)
SensArray / Gasonics: 1501A-8-0756 Thermocouple 8" Probe Wafer. J
SensArray/ Gasonics: 1501A-8-0756 Thermocouple 8" Probe Wafer. Wafer is Good Used. But thermocouple wire needs repair Terms of Sale Systech. Inc. provides a 14-Day After Receipt of Product Warranty unless otherwise indicated. Buyer’s identified defective product shall be returned to Systech at Buyer’s expense. Buyer is responsible for obtaining return authorization from Seller. Full refund shall be issued after receipt. Inspection and serial number verification. Refund includes shipping paid outbound from Systech. Due to cost of administration. Purchased products returned due to no longer needed or Buyer purchased error shall be assessed 15% Unit Price) Restock Fee. Buyer is responsible for obtaining return authorization. Shipping paid outbound from Systech is not refundable. Systech ships via USPS and FedEx(Ground and Express) FOB Phoenix. ... morePlease contact Systech prior to paying for product if Express shipping is required. Buyer has option to select alternate transport carrier at Buyer’s expense. Buyer must arrange alternate shipping carrier. Acceptable Payment Methods are: PayPal; Visa; MasterCard or American Express. Credit Card payment is only accepted for domestic ship to locations. Unless otherwise approved by Systech. Local Pick up is acceptable. Applicable tax applies. We thank you in advance for shopping our Store and look forward to continued business with you. Powered by The free listing tool. List your items fast and easy and manage your active items. di,RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bishhov%3C%3Edi-146d0c9e89c-0x10c-
IPEC Planar Avanti 9000 8" Wafer Cleaner/Scrubber
IPEC/Westech/Novellus Avanti 9000 Wafer Cleaner/Scrubber for 8" Comes with what you see in the pictures. If you don't see it. You probably wont get it. Specifications are from a 3rd party and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. A former IPEC employee identified this unit as a Model 9000. The unit's MegaSonic tank has a crack in it that is about 3 or 4" at the bottom. The unit is sold as-is. Untested. The Verteq Amplifier's Serial Number Tag Reads: Model Number: SWM800-C1-F-UC Serial Number: 13645B Power Requirements: 120 VAC. 50/60 Hz, 10 A Date of Manufacture: 3/95 The Verteq Matching Transformer's Serial Number Tag Reads: Transformer Part Number: 1069347-1 Transducer Part Number: 1074603.1 Serial Number: 4762 Ratio: 1 to 1.0 Frequency: 910 The Verteq Teaching ... morePendant's Serial Number Tag Reads: Model Number: 8045R4-2 Serial Number: HH29920 The Main Controller Has Options For: Wet Cassette Load Arm Brush Unit Transfer Arm Clean MegaSonic Tank Transfer Spin. Rinse and Dry Rinse Tank Spin/Rinse/Dry Transfer Arm Unload, The Unit's Cleaning Solution Was: 7% Ammonium Hydroxide For More Pictures Please Click the Following: $250.00 minimum for packaging. Handling, and order processing. Shipping to be determined by destination. If you have any questions please email us at: or call Michael at 1-866-MHZ-ELEC(1-866-649-3532) Toll Free. Also. Please to view or other auctions! 07/29/08 Powered by The free listing tool. List your items fast and easy and manage your active items. On Oct-31-13 at 21:10:20 PDT. Seller added the following information: Click Here. Double your traffic. Get Vendio Gallery- Now FREE!
Genmark Gencobot GPR Series 8/3L wafer handling robot
Genmark Gencobot GPR Series 8/3L GB8 wafer handling robot GB8-MT-80090488 P/N: 3L8Q070450 U.S PAT.5789890&6047733 with system controller 9800106811A with robot cable TESTED WORKING CONDITION Information Condittion used a+++++++++. This unit is fully tested prior to shipping Shipment We will ship your order within 2-3 business days via post airmail. It will usually take 10-14 business days after shipment. Return Policy We carefully inspect the item before shipment. In case you found a defect on the purchase. We will exchange or refund it Contact Us We are willing to respond to your inquiry If you have a question or problem.Please contact us first. It is the fastest way to settle your concern or issue.
Fluoroware A198-80MB PEEK (StatPro) 8" Wafer Cassette
US Seller A198-80MB-47C02 200mm(8-inch) PEEK(StatPro) wafer carrier from Fluoroware/ Entegris. This cassette is designed for general use within the fab. It is especially good in applications that are highly automated(i.e. AMAT Centura/ Endura) and handle silicon wafers at high temperatures. Please visit waferbox.com for additional product details. Free UPS Ground shipping with tracking within the US. International shipping available. Please contact us for a quote.
8 Empak PH9150 UltraPak 150MM X9150 Wafer Carriers
Eight used Empak Entegris PH9150 UltraPak 150MM wafer carrier with X9150 cassette. Holds 25 wafers. Used but clean. Please Note: This item can not be shipped to PO Boxes so if your Paypal address is a PO Box then we can NOT ship to you. We can only ship to the address that you have on file with Payal which cannot be a PO Box. We can NOT ship to alternate addresses that are put in the"notes" section of a payment.
HITACHI S-9300 CD SEM SYSTEM 8" WAFER SCANNING ELECTRON MICROSCOPE TURBO PUMPS
HITACHI S-9300 CD Scanning Electron Microscope System with Hitachi Controller and Power Supplies with 8" Hitachi automated wafer loader Hitachi maintained and operating when removed from service in 2007. Stored in a sealed antistatic bags bolted to custom pallets enclosed in crates. With the exception of the vacuum pumps and the chiller it's a complete system. All the offered components were operating at the time they were taken out of service. No Reserve. Professionally crated and ready for immediate shipment. Stored in heated university warehouse. Additional Photos: You get the following: 1. Hitachi S-9300 CD SEM Mainbody. Complete and intact. In frame with enclosure. 3) Turbomolecular pumps with controllers. It's beautiful. Maintained by Hitachi. 2. Hitachi S-9300 Controller. Display, Keyboard and interconnect cables/connectors. ... moreIn enclosure. 3. Hitachi Power Suppy for the above S-9300 electron microscope. Power the turbo pumps and other support devices. Includes the interconnect cables/connectors. In original enclosure. No dents. Nothing broken. It's pretty. 4. Hitachi Wafer Loader sytem. Set up for 8" wafers. Has interconnect cables/connectors. Attaches to the above SEM. 5. Hitachi pump rack with interconnect cables. Controllers and hoses. No pumps included. All components enclosed in antistatic bags with desiccant. Wrapped in stretch wrap, bolted and clamped to pallet, metal strapped to pallet. Packing in wooden, crates bolted to pallet, metal straps. Main Body Details: Hitachi Model S-9300 CD SEM Series Hitachi High Technologies CDB-201. Condition: Working. Maintained. Spotless perfect cosmetic condition. Been in the clean room. Enclosure panels have scratches at the edges. Wafer size: Tooled for 8" Wafers Two Wafer Inlet Ports SEM Main body SN: 0321-01 Date: 01-12 6KVA Single Phase. Some Markings Off Gun Assembly: SE Cathode Hitachi MFG# H-8911 2/25/05 1700k 2.28A OPE delta 2.24A(3) TurboMolecular Pumps Seiko STP-301H SN's: 0000040683.0000036676, 000000XXXXX(out of view) Man
Silicon Wafer 5 Unique Wafers 4 are 8" and 1 is 5" as shown
Silicon Wafer 5 Unique Wafers 4 are 8" and 1 is 5" as shown TERMS: Picture Gallery Represents Full Disclosure and description of this Item Sold AS-IS. Pictures take precedence over all text description. Buyer Pays All Shipping. Add Packing Charge of $2.00. FOB Richardson TX 75081 If you have any questions, call 214-680-8328 before bidding. Or waive all questions in regard bidding. Your bid signifies your full understanding of these terms and your promise to promptly pay for item within 3 days of invoice under these terms. We do not ship international unless we state that. Or you ask before bidding. If international. We may add up to $20 for paperwork. You pay all packing costs(such as special crating or box for international shipping) WE PREFER THAT BUYER ARRANGE AND HANDLE SHIPPING WE DO NOT DO U.S. POSTAL- WE DON’T HAVE GOOD FEELINGS ... moreTOWARDS THEM All text description is ONLY our BEST GUESS and what you see is what you get. Items are sold AS IS-WHERE IS- no returns(just like a real auction) Over 99% of our items have been good. As from shut down or moved operations of corporations. That is how we keep our prices so cheap. IF you want a warranty, ask in advance(will add 60-80% to bid. Do not bid if you do not intend to keep your promises hereunder. Pictures are the Full Description of this Lot and take precedence over all text description. DO NOT rely on the text description. Text description is merely our GOOD FAITH best effort to describe this item. However we are not experts in many of the items we sell and we can and have made mistakes. We hope the text information is helpful to begin your own due diligence inquiry, but no text description is guaranteed to be accurate or complete. Some of the text information may be inaccurate because we are not experts in some technology and sometimes items that look the same may have different part numbers or specifications than we are aware. Pictures ALWAYS take precedence over all text descriptions. All items are SOLD AS IS-No Returns regarding suitability,
2 TEL Wafer Prober Tokyo Electron Limited P8 P-8 (MAR 2000) Probers and Spares
Below is a list of all the spare cards taken out of the second"parts" prober. Including the VIP 3 board. Line Serial# P/N# Description Qty. 1 195315C-01L 3281-000007-12 PCB PINCETTE INTERCONNECT 1 1. 2 186906C-01 3281-000041-12 PCB ALAM CONTROL 1. 3 97W04110 3281-000080-12 TVB9003-1/316 1. 4 97H0V134G 3281-001184-17 TVB6004-1/QMC3 1. 5 99360379/ 3882913 770-809195-00/ V12532A VIP 3.04 1. 6 9B506030L 3281-00003-1A TVB0004-1/147CON 1. 7 3281-000008-14 PCB PINCETTE INTERCONNECT 2 1. 8 3208-000043-14 PCB DISPLAY DRIVER 1. 9 99H0Y009G 1208-001184-17 TVB6004-1 QMC3 1. 10 3208-000037-12/ 3281-000058-1C PCB LST AND PCB LOADER 1. 11 3751925 147-023 MVME 1. 12 3281-00005512 INKER SWITCH 1. 13 KURODA PCB MOD# PCL241B-NB-D24(1-conn attached to both parts) 2. 14 3281-000171-13 PCB DMC3 1. 15 ASSORTED WEIGHTS 7. 16 ASSORTED BAG OF ALVANIA GREASE ... more17 ASSORTED SPARES SY5440-5LOZ 18 ASSORTED FIXTURE PARTS 4. 19 HOLD(SCREW) DOWN VARIOUS SIZES CLAMPS 19. 20 PROBE CAP IN/OUT 1. 21 3834 PROBE TEMPTRONIC HOT CHUCK ACCESSORIES 1. 22 VARIOUS PROBER SMALL LIDS(2"X5"1.5"X5" 13. 23 1/4" BLACK RUBBER HOSE 1.
Silicon Wafer 8 inch RM52X1 MIPS CPU Circa 1998
For sale one MIPS RM52X1 CPU 8 inch wafer designed by Quantum Effect Devices 1998. One of the 52xx series of MIPS processors. I purchased these for my private collection and have just a few extras of this very rare and beautiful item. The RM52x1 family featured 345 Dhrystone 2.1 MIPS 266 MHz 64-bit MIPS with 125 MHz memory interface capable of 1000 MBytes per second peak transfer rates.The family also had superscalar instruction issue architecture that increased processor and overall system performance. This architecture enabled one integer and one floating point instruction to be processed per clock cycle. In addition it had 32 Kbyte instruction and 32 Kbyte data caches. These memory blocks can be seen in the lower portion of the photomicrograph. Sorry no international shipping on 8 or 12 inch wafers. I've got a wide selection of other ... morewafers in my store. Thanks for looking!
Brooks Automation Wafer Transfer Robot, Linear Track+Series 8 Controller+Aligner
Questions? Call us: 1-877-328-9236. Brooks Automation Wafer Transfer Robot. Linear Track+Series 8 Controller+Aligner. Manufacturer: Brooks Automation Model: Series 8 Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Brooks Automation Condition: Used. This lot is in Good cosmetic condition with only minor blemishes from prior use(scuffs/scratches. Mostly in the paint on the aligner robot and on bottom of the controller mounting bracket) Notes: This was removed from a decommissioned tool and not tested separately. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Brooks 124804 Robot, 1) Brooks 002-8761-01 Linear Track, 1) Brooks 119204 Series 8 Controller, 1) Brooks 122078 Aligner, 1) Pile of cables& vacuum hoses, Specifications: Manufacturer: Brooks ... moreAutomation, Reliance semiconductor wafer handler/transfer robot, With linear traverser. Controller, and wafer notch aligner, Recently removed from decommissioned machine, All cables between controller. Robot, and aligner are included, End effector has wafer-center vacuum gripper, SKU: O41D003 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Orders shipped to Texas will incur State Sales Tax at a rate of 7
Genmark Gencobot 8/2l 2l8080461 Wafer Handling Robot
Genmark Gencobot 8/2l 2l8080461 Wafer Handling Robot Pulled from a professional working environment. Guaranteed non-DoA. Tested for Full Functions. R2/Ready for Resale Please Note: if you receive an item(DOA Dead On Arrival OR DAMAGED IN TRANSIT. We will appreciate if you send us a message and on the topics please choose"Details Or Other" but please don't open an eBay/Paypal claim before giving me the chance to resolve the issue. We reserve the rights to not honor any additional request after the transaction is completed. So please ask any question you might have before you hit“Buy It Now” button. Payment Options: We Prefer PayPal For Credit Cards please Contact Us, Shipping: Shipping to United States: We ship FedEx and USPS. Alaska. Hawaii, And Puerto Rico require additional shipping charges. International shipments must be ... moreshipped via USPS Express or Priority Mail. If shipping to a PO Box you MUST select Priority Mail shipping option. Buyer’s please make sure your shipping information is FULL and correct. We require a Telephone# for all international shipments. We do not accept responsibility for lost or stolen items as a result of poor or unsafe shipping information. Buyer is fully responsible for all customs duties. Taxes, and shipping. International returns require buyer to cover all shipping costs. We do not mark Items as gifts or lower their custom values. We are a Business and we cannot do that. You will receive tracking information at the email tied to your ebay account. Tracking information will also be updated in ebay once the shipment is processed. Standard shipping = First Class Mail. Unless otherwise noted) Ground Shipping = FedEx Ground Shipping Expedited Shipping = USPS Priority Mail 2day Shipping = FedEx 2day shipping, Return: 14 DAY RETURN POLICY: We want our Customers to be happy with their purchases. So customers are welcome to return items within the first 14 days of receiving the item if they are unhappy with the item. There will be a 20% restocking fee any return that are not
IPEC/Novellus Planar Avanti 9000 MegaSonic 8" Wafer Cleaner/Scrubber
Click Here. Double your traffic. Get Vendio Gallery- Now FREE! IPEC/Westech/Novellus Avanti Wafer Cleaner/Scrubber for 8" Comes with what you see in the pictures. If you don't see it. You probably wont get it. Specifications are from a 3rd party and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. The unit was identified to be a model 9000 by a former employee of IPEC. The unit's MegaSonic tank has a crack in it that is about 3 or 4" at the bottom. The unit is sold as-is. Untested. The Verteq Amplifier's Serial Number Tag Reads: Model Number: SWM800-C1-F-UC Serial Number: 13645B Power Requirements: 120 VAC. 50/60 Hz, 10 A Date of Manufacture: 3/95 The Verteq Matching Transformer's Serial Number Tag Reads: Transformer Part Number: 1069347-1 Transducer Part Number: 1074603.1 Serial ... moreNumber: 4762 Ratio: 1 to 1.0 Frequency: 910 The Verteq Teaching Pendant's Serial Number Tag Reads: Model Number: 8045R4-2 Serial Number: HH29920 The Main Controller Has Options For: Wet Cassette Load Arm Brush Unit Transfer Arm Clean MegaSonic Tank Transfer Spin. Rinse and Dry Rinse Tank Spin/Rinse/Dry Transfer Arm Unload, The Unit's Cleaning Solution Was: 7% Ammonium Hydroxide For More Pictures Please Click the Following: $250.00 minimum for packaging. Handling, and order processing. Shipping to be determined by destination. If you have any questions please email us at: or call Michael at 1-866-MHZ-ELEC(1-866-649-3532) Toll Free. Also. Please to view or other auctions! 07/29/08 Powered by The free listing tool. List your items fast and easy and manage your active items. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bfg2%3E-14aad096d4d-0x10f-
Lot of 2 Quartz 4.3/8”, 25,27 Slots Wafer Carriers (Quartz Boats)
BIN: 2223 Lot of 2 This item(s) are in good working condition. Item(s) is Sold As Is Item comes to you exactly as pictured. USPS SHIPPING! Thanks ✔ Please! We want all buyers to be happy with their purchase. Send us a E-Mail on E-Bay ✔ Please select only the first one ✔( I have a question about using my item or I want to send the seller a message) I will work with you. `4`.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d71f%2B%3E%604%60-14abb8cffad-0x10c-
KLA-Tencor Sens Array 1840A-8-5030 Process Probe Instrumented Wafer w.5 CDs
KLA-Tencor Sens Array 1840A-8-5030 Process Probe Instrumented Wafer w.5 CDs in Original Case from Surplus Inventory Shipping: Worldwide. All items are shipped WITH TRACKING NUMBER within 1 business day of receiving cleared payment International Buyers: Customs and duty taxes are not included in the price for this item. Customs and duty clearing is the responsibility of the buyer. MIC f6
Kensington CSMT-4 Compact Wafer Sorting Mapping Tool Sorter 8" 200mm
Kensington Laboratories CSMT-4 Compact Wafer Sorting Mapping Tool. Multi-Station for 8" Wafers Comes with what you see in the pictures. If you don't see it. You probably wont get it. Specifications are from a third party and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. The unit was removed from service in working condition however there is no computer or UPS with the system. Because there is no computer and a lack of facility requirements. We do not have the expertise to formally test this item. The Main Unit's Serial Number Tag Reads: Model Number: CSMT-4 Serial Number: 96-156-01 Power Requirements: 120 VAC. 60 Hz, 15 A Fuse, 12 A The CSMT Tilt Arms and Scanner's Serial Number Tag Reads: Model Numbers: CSMT Tilt Arms Arm Serial Numbers: Qty. 4; 6193-06. 8061-06, 6193-08, 6193-10 ... moreScanner Serial Numbers: Qty. 4; 6193-05(w/6193-06) 8061-05(w/8061-06) 6193-07(w/6193-08) 6193-09(w/6193-10) The Wafer Handling Robot's Serial Number Tag Reads: Model Number: WFH4C TT/LR/HS Serial Number: 96-156-01 The OCR's Serial Number Tag Reads: Model Number: OCR Serial Number: 96-156-01 The Vacuum Manifold's Serial Number Tag Reads: Model Number: Vacuum Manifold Serial Number: 96-156-01 The Touchscreen's Serial Number Tag Reads: Model Number: Touchscreen Serial Number: 01-826-01 Description: The Kensington CSMT-4 Compact Wafer Sorting Mapping Tool is a multi-station wafer handler& sorter for 200mm wafers with 4 stations. It is designed to map. Sort, merges, splits, and randomize. It has a OCR system and is cleanroom compatible(Exceeds Class 1) with it's electropolished stainless cabinet. The stages are tilting ergonomic carriers, and the system contains a non-contact optical wafer prealigner for flats/notches. There is a built-in touchscreen color graphical user interface and a vacuum failsafe backup. The system usually contains a UPS but it was removed. The Servo Positioners are Kensington built, model 4000D. For More Pictures Please Click the Follow
Silicon Crystal WP214A-13-BACKVIA-A P341GA1 2003-OCT-11 Wafer 8" Semiconductors
PEG312 Silicon Crystal WP214A-13-BACKVIA-A P341GA1 2003-OCT-11 Wafer 8" Semiconductors Make: Silicon Manufacturers Model: WP214A-13-BACKVIA-A Qty. 1 Size: 8" Condition: Used Includes: Everything in the picture Warranty: 14 days Shipping: Confirmed addresses Payment: PayPal Only Pictures: Actual/Only as Pictured Comments: If you have any further questions in regards to this listing. Please feel free to contact us. We also appreciate any Best Offers that we receive. Thank you for shopping! Notification of defect must be received within 14 days of delivery. A full refund will be issued for return of matching serial number/s and UV EGS security stamp. All equipment and internal components have the security stamp. Packing Slip must accompany returns for proper replacement/refund. PEG311
Genmark GB8-MT-80050318 Gencobot 8/ 2L 2L8040307 Wafer Transfer Robot
Genmark GB8-MT-80050318 Gencobot 8/ 2L 2L8040307 Wafer Transfer Robot Pulled from a professional working environment. This unit is in great condition and includes aluminum mount. I don't have any of the cables but it looks to be otherwise complete. Tested for Full Functions. R2/Ready for Resale Please Note: if you receive an item(DOA Dead On Arrival OR DAMAGED IN TRANSIT. We will appreciate if you send us a message and on the topics please choose"Details Or Other" but please don't open an eBay/Paypal claim before giving me the chance to resolve the issue. We reserve the rights to not honor any additional request after the transaction is completed. So please ask any question you might have before you hit“Buy It Now” button. Payment Options: We Prefer PayPal For Credit Cards please Contact Us, Shipping: Shipping to United ... moreStates: We ship FedEx and USPS. Alaska. Hawaii, And Puerto Rico require additional shipping charges. International shipments must be shipped via USPS Express or Priority Mail. If shipping to a PO Box you MUST select Priority Mail shipping option. Buyer’s please make sure your shipping information is FULL and correct. We require a Telephone# for all international shipments. We do not accept responsibility for lost or stolen items as a result of poor or unsafe shipping information. Buyer is fully responsible for all customs duties. Taxes, and shipping. International returns require buyer to cover all shipping costs. We do not mark Items as gifts or lower their custom values. We are a Business and we cannot do that. You will receive tracking information at the email tied to your ebay account. Tracking information will also be updated in ebay once the shipment is processed. Standard shipping = First Class Mail. Unless otherwise noted) Ground Shipping = FedEx Ground Shipping Expedited Shipping = USPS Priority Mail 2day Shipping = FedEx 2day shipping, Return: 14 DAY RETURN POLICY: We want our Customers to be happy with their purchases. So customers are welcome to return items within th
Brooks ATR-8 300mm Wafer Robot Series 8 Controller Linear Track Pendant
Brooks ATR-8 300mm Wafer Robot Series 8 Controller Linear Track Pendant Shipping Information: | Payment Information: | Terms: | About SRC Surplus(Click to Enlarge) Description Brooks Automation Reliance ATR-8 300 mm Wafer Robot w/ SERIES-8 ATR8 Wafer Controller Linear Track System. Controller, Teach Pendant Brooks Automation 017-0483-01 ATR Wafer Robot Brooks Track System 002-8761-02 included Brooks Automation series 8 Controller: 108549 Brooks Automation Series 8 Controller: 002-9401-02 Brooks Automation: Robot Teach Pendant TT1ENR2-1-TVS-ES-BROOKS All Interconnect Cables Included(see pictures) Unit is sold as is. As pictured. Without warranty unless stated Above specifications are for the current model. Some specifications may vary slightly from the actual unit. note all text and photos copyright 2015 021015 Brooks. Click to Enlarge) Click ... moreto Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Shipping Information: Shipping& Handling is calculated on each item. Once your billing& shipping information is received by SRC Surplus after your successful purchase. If you would like a S&H quote on this item before bidding(purchasing) please email us your complete shipping address. Shipping Options: UPS Red-Overnight UPS Blue 2-Day UPS Ground Freight UPS International: Worldwide Express Worldwide Expedited We will not ship with USPS No collect shipping no shipments will be shipped on customer accounts until there is a business relationship of multiple purchases over a 6-9 month period. If you have any questions please contact us: Phone: 602.635.4480 Payment Information: You will be directed to our secure checkout where we accept Paypal. Terms: The following is a summary of the SRCSurplus.com Terms and Conditions of Use and Sale. Which are incorporated here by this reference and govern this transaction in their entirety, and which you are advised to read by visiting SRCSurplus.com and clicking on“TERMS OF SALE” SRC Surplus disclaims and
200mm 8" Teflon Wafer Cassette A192-80M
Free shipping to 48 states. All others inquire! International shipping available. Thank you!
Genmark Gencobot GPR 8/3L Wafer Robot, Controller, Prealigner, Cables 2006 Model
Genmark Gencobot GPR 8/3L Wafer Robot. Controller, Prealigner, Cables 2006 Model. Model# of Robot GB8-MT-80100679 Model# of Controller Large- LGC1273 Model# of Prealigner RP100609. Used units in excellent condition. Manufactured in late 2006. Removed from an"ESI UV9835 UV LASER MEMORYREPAIR SYSTEM" Vintage 2007) See our stores Wafer Handling or Semiconductor category for related components. Local pickup in San Jose CA. available. Please contact us if expedited shipping is required. Shipping Details: Please ask for a shipping quote. The robot is professionally crated. The robot must ship air-freight/ocean-freight for international shipments or common carrier freight for domestic USA shipments. Robot crated weight is 263 lbs. Crate dimensions 49" x 26" x 26" The Controller. Prealigner and cables can ship via UPS(or ... morefreight with the robot if desired) Prealigner ship weight 35 lbs.unit weight 30 lbs. Box size 28" x 18" x 12" Controller ship weight 55 lbs.unit weight 48 lbs. Box size 26" x 26" x 16" Cables ship weight 9 lbs. Box size 14" x 12" x 10" For international shipments buyer is responsible for duties and tariffs. Inventory Code: GO-K-1734-1. Email: Please email or call if any questions. Office# 408-564-6264. For new and used high-tech and industrial automation parts and systems visit us online at: Or. S.
CRYSTALPAK 8 INCH 200MM WAFER CASSETTE/BOAT HS200-02 / EMPAK XS200-0401
EMPAK CRYSTALPAK 200MM/8" WAFER CASSETTE AND HOLDER PC(Polycarbonate) Construction provide strength and clarity. HS200-02 outer holder. EMPAK XS200-0401 25 slot insert for holding 25 wafers. Silicon rubber seal on lid to create tight seal. Unit is used but in nice shape.
Silicon Wafer 8" with Solopak case Gold colored on one side 7epid420mmh1
Description Silicon Wafer 8" with Solopak case Gold colored on one side. 7epid420mmh1 is on the edge of the wafer. I don't know anything about this item estate sale find. If you have any questions please email me in the contact seller section. I will do combine shipping. Please see my other auctions. I print labels via Paypal if you are in the US and ship via USPS. Please advise if you do not receive your item w/in 7 days of shipping label notification. Please check your paypal shipping address to ensure delivery to the correct address.