Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
350.00
Buy It Now
$80.00 Shipping
Condition: Used
Location: Temple, United States
Model Number: 300mm Wafer. Serial numbers or country of manufacture may vary.
$
5250.56
Buy It Now
$46.96 Shipping
Condition: Used
Location: Buda, United States
We do repairs. (See Hours of Operation, above). REQUIRED BY US LAW. Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ ... moreyāoqiú. 重要的!!!如果没有有效的 电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
4.97
Buy It Now
$9.97 Shipping
Condition: For parts or not working
Location: Ukraine
We will make every effort to fix any problem.
$
145.00
Buy It Now
$26.59 Shipping
Condition: Used
Location: Schenectady, United States
If there are multiple available for sale you may not receive the one photoed, but they are all in similar condition. Green Impact. HVAC & Refrigeration. ... moreEverything included is shown in the photos.
$
115.55
Buy It Now
$10.95 Shipping
Condition: New
Location: Ogden, United States
Silicone Wafer Dipper. Manufactured in Virgin PTFE white. We make ONE or many. Can't find your size?. We can custom create one for you! Price breaks available ... morefor ordering more than one.
$
107.50
Buy It Now
Free Shipping
Location: Huntsville, United States
The wafer colors will vary depending on viewing angle and lighting conditions.
$
18.99
Buy It Now
$12.37 Shipping
Condition: Used
Location: Las Vegas, United States
H-SQUARE CO 3" WAFER PICKUP ROUND WAND SQ2 1942-4 SQ21942-4 ESD H2CO 75 MM. This lot is for oneH-Square Co 75 mm Round Wafer ESD Head and Wand. Wand - ... moreSQ21942-4. Cable with ESD ends installed. This unit will arrive in the condition shown.
$
318.97
Buy It Now
$19.60 Shipping
Condition: New
Location: Ogden, United States
Silicone Wafer Dipper. Holds 3 wafers. Made in Natural, Virgin PTFE. We make ONE or many. Opening on one side. Can't find your size?. We can custom create ... moreone for you!
$
125.00
Buy It Now
Free Shipping
Location: Huntsville, United States
The wafer colors will vary depending on viewing angle and lighting conditions.
$
95.00
Buy It Now
$17.75 Shipping
Condition: New – Open box
Location: Cupertino, United States
25X Silicon Wafer Cincinnati Milacron 75mm 3" N/P 2.43-2.97 Ohm-cm Epi 12-14 um. EPI Thickness: 12.00 - 14.00 microns. 25 Wafers total. Resistivity: 2.430 ... more- 2.970 Ohm-cm.
$
228.55
Buy It Now
$12.75 Shipping
Condition: New
Location: Ogden, United States
Silicone Wafer Dipper. Made in Natural, Virgin PTFE. We make ONE or many. Can't find your size?. We can custom create one for you! Price breaks available ... morefor ordering more than one.
$
15500.00
Buy It Now
Condition: Used
Location: Schenectady, United States
Quality Surplus. Green Impact. HVAC & Refrigeration. Everything included is shown in the photos. If there are multiple available for sale you may not ... morereceive the one photoed, but they are all in similar condition.
$
3400.00
Buy It Now
$176.45 Shipping
Condition: New
Location: Kokomo, United States
LIMITATION OF LIABILITY.
$
258.24
Buy It Now
$13.60 Shipping
Condition: New
Location: Ogden, United States
Silicone Wafer Dipper. Holds up to 5 wafers 2". Manufactured in Natural, Virgin PTFE. We make ONE or many.
$
177.99
Buy It Now
$12.75 Shipping
Condition: New
Location: Ogden, United States
Silicone Wafer Dipper. Made in Natural, Virgin PTFE. We make ONE or many. Can't find your size?. We can custom create one for you! Price breaks available ... morefor ordering more than one.
$
362.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: 200mm Wafer Handler. This Nikon 200mm Wafer Handler Assembly is used working surplus. The physical condition is good, but there are signs of ... moreprevious use and handling. Motor Part No: 1.8°/STEP.
$
220.00
Buy It Now
$18.00 Shipping
Condition: New – Open box
Location: Quakertown, United States
Fluoroware part numbers 3" dia Single Wafer Cases. Lot qty of 100 containers (100 trays, 100 covers & 100 springs). Tray = H22-30-0615 ………… Cover = H22-301-0615 ... more…………. Spring = H22-302-0615.
$
499.99
Buy It Now
Free Shipping
Condition: New
Location: Phoenix, United States
Quanity 25: NEW Crystalwise Technology 2" Sapphire 0.2° 0.43mm Wafers. Quantity: 25. (1) Are you the end-user of this item?.
$
181.57
Buy It Now
$11.95 Shipping
Condition: New
Location: Ogden, United States
Silicone Wafer Dipper. Made in Natural,Virgin PTFE white. We make ONE or many. Can't find your size?. We can custom create one for you! Price breaks available ... morefor ordering more than one.
$
850.00
Buy It Now
$143.60 Shipping
Condition: Used
Location: Hillsboro, United States
This unit is used on a number of different Electroglas wafer probing systems. The brightfield puts light on the item being looked at. The darkfield ring ... morelight puts light around the area being looked at.
$
10000.00
Buy It Now
Condition: Used
Location: Bosque Farms, United States
Do not have the proper power or knowledge to try it out. We do not have power cords to provide unless they are attached to the item or unless stated that ... morethey are provided. If one is pictured it is for testing purposes only unless it is attached or stated that it will be included.
$
5950.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
Manual quick lift platen. Mitutoyo microscope with single long working distance objective.
$
472.78
Buy It Now
$13.82 Shipping
Condition: Used
Location: Buda, United States
SN: 83139,TW79359. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole ... moreJackson at getsparesllc. (See Hours of Operation, above).
$
260.62
Buy It Now
$20.27 Shipping
Condition: New – Open box
Location: Boise, United States
REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson at getsparesllc. We do repairs. (See Hours of Operation, ... moreabove). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù.
$
5500.00
Buy It Now
Condition: For parts or not working
Location: Schenectady, United States
Payment Policy Brand New Sony CyberShot 8 MP Di NEW Disco EAUA-132100 Sensor Input Board DAD Wafer Scribing Dicing Control FAPCB Attention All Buyers ... moreIf there is anything wrong with the item that you have purchased. Please do not leave negative feedback and do not open any disputes with eBay or PayPal. Just contact us directly and we will fix the problem quickly. Item Description NEW Disco EAUA-132100 Sensor Input Board DAD Wafer Scribing Dicing Control FAPCB NEW Condition Disco Scribing/ Dicing Sensor Input Board Controller PCB Model: EAUA- 132100 Part No: FAPCB- 0279 Disco DAD 2H5/ 2H6T PCB Sensor Input Control Board INV# 4B90092-02-13 Item Condition New Condition Actual Picture of the item is provided. What you see in the picture(s) is what you get Note: In Quantity Auctions. The serial number of the item that you receive may vary from the item shown above. Payment Policy 1) PayPal: We accept PayPal from all verified accounts. Note: For large payments or payments from some foreign countries we may require other electronic means of payment. 2) Credit Cards: We accept credit card payments through PayPal only. 3) Checkout: All US buyers should follow eBay checkout process to receive the proper invoice. International buyers should contact us to for an update on the shipping costs before they can follow eBay checkout. 4) Sales Tax: Californian buyers pay a Sales Tax of 8.625% unless a valid Resale Certificate or Tax exemption Certificate number is remitted by email or fax prior to submitting payment. 5) Checkout: An automated Non-Paying Bidder Alert will be filed if payment is not received in 4 days from the end of the auction. 6) Questions: For any questions. Please contact us directly from the auction page click on our ID(supertechshop) and then click on(Contact Seller) link. Shipping& Handling Policy 1) Handling Time: We ship packages in 1 business day after receiving payment. However larger items and quantity orders take more time to pack. 2- Shipping Method: Our standard carrier is UPS for all domest
$
2650.68
Buy It Now
Condition: Used
Location: Buda, United States
Your Source for Spares & Repairs. REQUIRED BY US LAW. (See Hours of Operation, above). Novellus C-1.
$
129.99
Buy It Now
$11.70 Shipping
Condition: New – Open box
Location: Moultrie, United States
SEALED AXCELIS TECHNOLOGIES 580773H TC REV3. We do not buy direct from the manufacturers or from authorized dealers. The serial numbers in the pictures ... moreare covered to prevent mischief by hackers or others that want to cause harm.
$
293.59
Buy It Now
$16.60 Shipping
Condition: New
Location: Ogden, United States
Silicone Wafer Dipper. Made in Natural, Virgin PTFE. Holds 10 wafers. We make ONE or many. Can't find your size?. We can custom create one for you! Price ... morebreaks available for ordering more than one.
$
100.00
Buy It Now
$5.45 Shipping
Condition: New
Location: Stockton, United States
Not sure exactly how many there are. For reference there’s 33 on top. The pack below is unopened (guessing over 100)
$
9500.00
Buy It Now
$89.69 Shipping
Condition: Used
Location: Las Vegas, United States
The MicroZoom Probe Station is also included, making it a complete setup for all your probing needs. This item is used, but it's still in great working ... morecondition.
$
249.57
Buy It Now
Free Shipping
Condition: New
Location: Sacramento, United States
We have added an upper and lower cushion that is specifically engineered for thin wafer support. We take joy in helping you get the gear you want at the ... moreprice you need. We work hard to include a lot of pictures of each item.
$
99.99
Buy It Now
$36.25 Shipping
Condition: Used
Location: Moreno Valley, United States
Hine Design Left Wafer Indexer Gasonics. YOU WILL GET EXACTLY THE ONE SHOWING IN PICTURE. (Floor Scale you see in the pictures is not included).
$
1750.00
Buy It Now
Free Shipping
Condition: Used
Location: Seattle, United States
Typically the MASCOT Loadlock would be mounted to a gate valve attached to a main system chamber. After the loadlock is evacuated to the desired vacuum ... morelevel, and the gate valve opened, the substrate is transferred into the process chamber by gliding the magnet carriage along the outer tube.
$
45.00
Buy It Now
$40.83 Shipping
Condition: Used
Location: Morgan Hill, United States
Sapphire With GaN Epi?.
$
450.00
Buy It Now
$150.00 Shipping
Condition: For parts or not working
Location: Israel
Nabtesco 3D80-000005-V3 Wafer Transfer Robot Manipulator. I'm not a specialist, and I have no idea how I can test it.
$
19999.00
Buy It Now
Condition: Used
Location: Singapore
CANON FPA-5000 ES3/ FPA-5000ES3 DUV SCANNER WAFER TRANSFER/ HANDLING/ FEED ASSY ROBOT Y60-2031-000. Type: Wafer Transfer/ Handling/ Feed Hand Assy for ... moreFPA-5000ES3 DUV Scanner. Make: Canon. This unit is used and appears well-maintained, in excellent physical condition.
$
18.99
Buy It Now
$12.37 Shipping
Condition: Used
Location: Las Vegas, United States
H-SQUARE CO. SQ2 942-4 75 MM (76.4) 3" WAFER PICKUP HANDLE VACUUM SUCTION ESD. This lot is for oneH-Square Co. SQ2 942-4 75 mm (76.4) 3" Wafer Pickup ... moreHandle Standup. Arrives as shown electrostatic cable included.
$
299.99
Buy It Now
$27.50 Shipping
Condition: New
Location: Albuquerque, United States
Holder has minor surface scratches from storage. See photos. What is shown in the photos is what you will receive.
$
300.00
Buy It Now
$15.05 Shipping
Condition: Used
Location: Johnstown, United States
$
545.68
Buy It Now
$80.13 Shipping
Condition: Used
Location: Boise, United States
SN: B75235. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson ... moreat getsparesllc. (See Hours of Operation, above).
$
47999.00
Buy It Now
Condition: New – Open box
Location: Singapore
Type: Wafer Transfer Robot. Make: Kawasaki Heavy Industries. Cable as shown.
$
35.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Hudsonville, United States
$
25750.00
Buy It Now
$395.00 Shipping
Condition: New
Location: Newport Beach, United States
AMAT PRODUCER Applied Materials Part No: 0190-10301. Kawasaki Robot Part No: 3NS410B-A104. Condition: NEW out of box.
$
3750.00
Buy It Now
Condition: Used
Location: Schenectady, United States
Model:Gencobot 7S/3L. Robot arm spins freely about the center axis and articulates as you would expect without issues. Model: 7S/3L Robot. HVAC & Refrigeration. ... moreEverything included is shown in the photos.
$
1923.93
Buy It Now
$159.26 Shipping
Condition: Used
Location: Ireland
$
49.99
Buy It Now
$10.00 Shipping
Condition: For parts or not working
Location: Glen Flora, United States
These could possibly be from Burroughs/Sperry/UniSys is what we were told, but we have no way to confirm that.
$
225.67
Buy It Now
$9.05 Shipping
Condition: New – Open box
Location: Boise, United States
REQUIRED BY US LAW. (See Hours of Operation, above). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 ... more电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
1599.90
Buy It Now
Free Shipping
Condition: Used
Location: South Korea
RORZE WAFER TRANSFER ROBOT RR0100-001.
$
350.00
Buy It Now
Free Shipping
Condition: Used
Location: Hollister, United States
The unit shows signs of use, such as, minor scuffing. These products are subject to export restrictions under U.S. law.
$
60.00
Buy It Now
$8.70 Shipping
Condition: Used
Location: Boca Raton, United States
EpaK - 3in (76mm) Yellow Wafer Process Cassette and Box set with insert ( will not break up set ).
$
225.68
Buy It Now
$13.82 Shipping
Condition: Used
Location: Buda, United States
SN: TWA-06073. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole ... moreJackson at getsparesllc. (See Hours of Operation, above).
$
49.99
Buy It Now
$10.00 Shipping
Condition: For parts or not working
Location: Glen Flora, United States
These could possibly be from Burroughs/Sperry/UniSys is what we were told, but we have no way to confirm that.
$
1250.62
Buy It Now
$80.13 Shipping
Condition: New – Open box
Location: Boise, United States
Your Source for Spares & Repairs. REQUIRED BY US LAW. (See Hours of Operation, above). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn ... morezhù. Měiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
2000.00
Buy It Now
$500.00 Shipping
Condition: Used
Location: Ireland
CH3 Wafer Lift Assy. AMAT 0010-03438. Applied Materials.
$
599.00
Buy It Now
$45.00 Shipping
Condition: New – Open box
Location: Albuquerque, United States
12154-515-270, 29247-3. See photos for RW's. Rotor is clean and ready to install. What is shown in the photos is what you will receive.
$
390.93
Buy It Now
$19.60 Shipping
Condition: New
Location: Ogden, United States
Silicone Wafer Dipper. Holds up to 6 wafers 3". Manufactured in Natural, Virgin PTFE. We make ONE or many. Can't find your size?. We can custom create ... moreone for you!
$
6750.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Palisades Park, United States
Model M150PC Photostabilization System. New Fusion Axcelis 6" Wafer Chuck for the. Axcelis p/n 09571. We are minutes from Manhattan, and local to all ... more3 New York City Area Airports.
$
10500.00
Buy It Now
$92.41 Shipping
Condition: Used
Location: Austin, United States
Search our eBay Store! Genmark Gencobot 4/3L Classic Wafer Handler Transfer 3-Link Robot Arm PARTS SKU: JV-NIC-HANFERT Condition: For parts or not working ... morePackaging: OEM Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Genmark Automation Gencobot 4/3L Classic Wafer Handler Transfer 3-Link Robot Arm*PARTS* Type: 4/3L Classic. Physical Condition: Good; Minor scuffs/scratches. Unit has some marks/stains on its plastic display cover(SEE PHOTOS) Power cable is not included. We do not have the necessary resources to further test this unit. Dimensions(L" x W" x H" 14 3/16* 15 ¾* 29 3/8. Extended Arm Length: 30 ¼” BEING SOLD AS-IS FOR PARTS OR REPAIR. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don&
$
1999.99
Buy It Now
$17.67 Shipping
Condition: Used
Location: Sparks, United States
OPM OP Mount X-VMI-BODY-ZR / X-VMI-BODY-LR Wafer Probe Station Holder Positioner. These are ridged mount / bolted to the platter, not magnetic or vacuum. ... moreAlso includes a bag of adapter cables with RCA ends.
$
425.82
Buy It Now
$6.30 Shipping
Condition: New – Open box
Location: Buda, United States
REQUIRED BY US LAW. We do repairs. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. 重要的!!!如果没有有效的 电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 ... more。. Novellus C-1.
1 2 3 4 5 6 7 8 9 10
 In 

Former Listings  
 
Fortrend F-5000 3-Tray 25/50 Wafer Transfer Handling Station
Search our eBay Store! Fortrend F-5000 3-Tray 25/50 Wafer Transfer Handling Station SKU: JV-JIM-C-FORT5000 Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Fortrend F-5000 3-Tray 25/50 Wafer Transfer Handling Station Model: F-5000 Physical Condition: Good; some scuffs and scratches. Back panel is missing screws. Unit powers on. Able to manually rotate carousel. We do not have the necessary resources to test the pneumatic capabilities or otherwise further test this unit. Dimensions(L"W"H" 17 3/8* 16 1/4* 27 7/8 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT ... moreREQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you&#
Brooks Automation Atmospheric Wafer Transfer Robot w/21" 3-Axis Articulated Arm
Search our eBay Store! Brooks Automation Atmospheric Wafer Transfer Robot w/21" 3-Axis Articulated Arm SKU: JV-DAN-C-BROBOT112233 Condition: Used Packaging: Pallet/Skid Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Brooks Automation Atmospheric Wafer Transfer/Handling Robot with 21"Reach 3-Axis Articulated Arm Model: N/A P/N: N/A-21” Arm Reach(measured from center of arm’s base axis to end effector. With arm extended) 3-Axis Physical Condition: Unit is in good condition. Minor cosmetic scuffs/scratches. Arm assembly is in great condition. Ports are in great condition. We do not have the necessary resources to test ... morethis unit. Dimensions(L" x W" x H" 12 ¾ x 18 x 30. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined sh
Genmark RP040104 Remote Vacuum Wafer Pre-Aligner Robot 50-300mm 3” SunX DP2-20
Search our eBay Store! Genmark RP040104 Remote Vacuum Wafer Pre-Aligner Robot 50-300mm 3” SunX DP2-20 SKU: JV-JIM-B-RP040104 Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Genmark RP040104 Remote Pre-Aligner 50-300mm 3” w/SunX DP2-20 Vacuum Sensor Model: RP040104 Physical Condition: Some scuffs and scratches. Functionality/Degree of Testing: We do not have the necessary resources to test this unit. Dimensions(L" x W" x H" 26 5/8* 12 5/16* 3 11/16 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. ... moreMILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please co
Irvine Optical Corp. Ultrastation 3 Wafer Inspection System
This is a Wafer Inspeciton System by Irving Optical Corp. It is used but was pulled from a working environment and it powers on. A photo of the read out is included. Please see and enlarge photos for additional details. Any questions. Please ask. Thanks for looking. PA residents add 6% sales tax. Should you have any problems after receiving an item. Please contact us prior to leaving feedback so that we may have an opportunity to resolve any issue you may have.
Lot of 3 Shin-Etsu MW-200N 200mm 25-Wafer Carrier/Shipping Box MW200N Clear
Questions? Call us: 1-877-328-9236. Lot of 3 Shin-Etsu MW-200N 200mm 25-Wafer Carrier/Shipping Box MW200N Clear. Manufacturer: Shin-Etsu Model: MW-200-N Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Shin-Etsu Condition: Used. Comes in non-original packaging. These wafer carriers are in good condition with a few minor scuffs and scratches from normal use. But nothing major or anything that would affect function. Notes: No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 3) Shin-Etsu MW-200N 200mm Wafer Carriers, Specifications: Manufacturer: Shin-Etsu, Model: MW-200N, Description: Wafer Carriers/ Shipping Boxes, Wafer Capacity: 25-Wafer, Wafer Size: 200mm, Weight(each) 4.50 lbs. Condition: Used, Quantity: 1, SKU: N08P005 For questions regarding this item. ... morePlease reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. DougDeals does not ship on buyer accounts or allow the buyer to arrange shipping. Shipment must be arranged through us and will be pr
CMS PS-700 Silicon Wafer Laser Marking System - 3-6 Micron
CMS PS-700 Silicon Wafer Laser Marking System- 3-6 Micron Description CMS PS-700 Silicon Wafer Laser Marking System- 3 to 6 Micron character size Link to video of similar unit: http:www.cmslaser.com/videos/wafer.php Software will need customized for your application at additional cost GMBH Lubec Mfg. 06-1999 Coherent Compass 501QM-VD-ES Green Laser power 03.0w- pulse 1mj/10ns power 10.0w- pulse 2mj/10ns Compumotor AT-6400 with 4 Compumotor Zeta4 motor controllers. Laser Lens 03-28FT-55-532NM. X.Y,Z,T 4 Axis with Newport stepper motors Newport P100-P mini platform mirror mount. Daedal/Parker 5510 mirror and mount. Newport MM2-1A kinetic mirror mount Newport 818-SL power meter Manufacturer CMS Manufacturer Part Number PS-700 Item/Ship Weight 1920 LBS Tech Condition This item is used and has been tested to be in good working condition. Good ... moreWorking Condition. Software will need to reconfigured for your application at additional cost. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300. Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 36587 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calcu
Mactronix 3" Wafer Flat Finder T16-300
Camjan LLC. Image Gallery Click Images to Enlarge Full-Size Image Full-Size Image Full-Size Image Full-Size Image Full-Size Image Full-Size Image Description Up for sale is a used Mactronix 3" Wafer Flat Finder Model# T16-300 Serial# 971014A783-3 Comes with all in pictures From Working Environment Please look at all pictures to ensure accuracy. Any questions? Please ask! Thanks and Good Luck! Can't test. Sold AS/IS Up for auction is a used Mactronix 3" Wafer Flat Finder Model# T16-300 Serial# 971014A783-3 Comes with all in pictures From Working Environment Please look at all pictures to ensure accuracy. Any questions? Please ask! Thanks and Good Luck! Information We do not have the capacity to test the equipment. That is why we are selling it"AS-IS" w/o any warranties We will continue to fully disclose any defects ... moreor deficiencies known to us on all of the items listed on auction. For those items stated as"powers on" we will accept returns on D.O.A. Dead On Arrival) units ONLY. Upon return all security seals must be intact on Equipment. The buyer will be responsible for any additional charges incurred including shipping and handling. Please Take the time to check your item Immediately after receiving. Terms and Conditions(please read before you bid) This item is USED. unless specified in item description) I DO NOT provide support. Software, manuals, or service(unless specified) Buyer agrees his/her complete knowledge on the use and operation of the device is bidding/acquiring/buying etc. and assumes responsibility for the proper use of item/s purchased from seller and holds seller free of any liability for injury, loss or death resulting from use or misuse. We do not guarantee the completeness or accuracy of the item specifications/features/capability description since most of the descriptions are taken from the internet and the manufacturer may change. Modify or update this product. Please research product before bidding. Your bidding on this item is your agreement and acceptance
25 slot 3" / 75.5-76.5mm Wafer Container - Empak Transpak
25 slot 3" 75.5-76.5mm Wafer Container- Empak Transpak Please refer closely to all photos before purchasing. Used. Transpak wafer carrier by Empak. 25 Slot, For 75.5-76.5mm wafers, This unit is used in good cosmetic condition. Thanks for looking- we appreciate your business! Please check out on eBay. Please Read: Other than what's displayed in the images accompanying this listing or mentioned in the description above. There are no manuals, instructions, additional parts or accessories. Unless otherwise stated in the description. The images show the ACTUAL or an ACCURATE REPRESENTATION of item that you are bidding on. All images are photographed by us and are the exclusive property of TELEVISIONARY Communications and/or The Reuseum. Use of any material in this advertising is prohibited without express permission. Unless otherwise ... morestated above. This item is sold strictly"AS IS, with no warranty. We TRULY& HONESTLY try to represent our auction items to the best of our abilities. Please understand that we are sometimes unfamiliar with an item. That said. You might notice times that the description is very brief or nonexistent. To compensate for our lack of expertise we start prices very low, with the intent that you might be interested in the item for its parts and AS IS condition. Please take this in consideration when bidding on our auctions. We try to take weekends off in order to preserve our marriages. If this auction is scheduled to end during the weekend. We'll be in contact with you on Monday. If you have technical questions, or need shipping quote information about this or any of our other auctions, please try to ask them by Friday morning. Any technical or shipping questions received over the weekend most likely will not be answered before the close of the auction. Shipping Information: Bidders outside the Continental U.S. including bidders in Alaska. Hawaii, Puerto Rico& our friends in Canada) MUST contact us to determine(non-freight) shiping costs! Any shipping prices or shipping
Lot of 3 Controller Boards for Rigaku 3620 X-Ray Wafer Analyzer
1-888-9WEC2IT(993-2248) Problem? Lot of 3 Controller Boards for Rigaku 3620 X-Ray Wafer Analyzer. Item Description. Manufacturer Rigaku, Model Number R-Controller 8509-0045. Temp-Vac- Control 9300-5252 CS, 6684-0066(Unknown) Category Lab Equipment Wafer Processing, Cosmetic Wear Items have minimal wear. Functional Condition Items removed from a Rigaku 3620 X-Ray Wafer Analyzer. Items are presumed working. Items Included In Listing This lot includes 3 boards total as pictured. Not Included software. PJR311. PLEASE NOTE: If you think an accessory should be with this item. And it is not pictured or not mentioned, it is NOT included. This Listing Was Sourced By
Quartz Wafer Boat for furnace 3 inch 27 slot
Listing is for one slightly used Quartz Wafer Boat for a furnace.The slot for the waffer is 3 inches wide& it has 27 slots for waffers if you are using every other larger width slot. The over all length is about 9 inches& the over all width is about 3 1/2 inches.
Yaskawa XU-RCM4700 Rev.3 Wafer Transfer Robot with XU-CM4730 CONTROLLER
Yaskawa XU-RCM4700 Rev.3 Wafer Transfer Robot with XU-CM4730 CONTROLLER TESTED WORKING CONDITION Information Condittion used a+++++++++. This unit is fully tested prior to shipping Shipment We will ship your order within 2-3 business days via post airmail. It will usually take 10-14 business days after shipment. Return Policy We carefully inspect the item before shipment. In case you found a defect on the purchase. We will exchange or refund it Contact Us We are willing to respond to your inquiry If you have a question or problem.Please contact us first. It is the fastest way to settle your concern or issue. 1f3eb5.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28%3E1f3eb5-13f7a3d64de-0xfa-
(3) Semiconductor Wafer Holder Vacuum Held Stainless Steel Fixtures
This Sale is for(3) Semiconductor Wafer Holder Vacuum Held Stainless Steel Fixtures. Fixture Outside Diameter- 5.59” Same for ALL three· Fixture Inner Diameter- 1.88” 2.90” 4.75” Vacuum Port 1/8” SOLD WITH A 14 DAY RIGHT OF INSPECTION Please CLICK HERE for our new eBay Manuals Store. First Choice Manuals" INTERNATIONAL BIDDERS SHIPPING COST NOTICE: Please request a shipping quote directly from us. EBay's shipping calculator may be quoting incorrect rates. Please email us for a discounted shipping quote prior to bidding on an item. Our office hours are 9-5 M-F Eastern Standard Time. U.S. Terms& Conditions Emails Please use Ebay’s Message System to communicate with us. Ebay’s message center provides for the most effective communication. Emails sent directly can be overlooked or filtered by our server which only causes frustration ... moreto all parties. Answering Questions Avitar’s business hours are Monday through Friday from 9:00 a.m. 5:00 p.m. Eastern Standard time. We will answer questions during those hours prior to the end of the auctions. Questions received over the weekend or on holidays will be answered Monday morning. Shipping Notes Shipping costs are to the US(Lower 48 States) Local pick-up is available by appointment only. Alaska and Hawaii residents please e-mail for a shipping quote before bidding. Shipping& Handling All items are professionally packed to arrive undamaged. UPS is our standard domestic carrier. International bidders please note that we will use USPS Priority mail for smaller. Less expensive items. However. USPS has both size and weight restrictions as well as insurance limits that vary from country to country. Any item that exceeds the aforementioned parameters will be shipped via DHL or Federal Express. We will pass along our substantial DHL or Federal Express discount and are happy to quote shipping cost to potential bidders prior to bidding. Please be aware that USPS takes longer than other carriers. We DO NOT ship to Libya, Yemen. Venezuela, Egypt, Pakistan, Argentina
Equipe Brooks ATM-104 Robot 3-Axis 4 5" Single Vac. Wafer Robot
Equipe Brooks ATM-104 Robot 3-Axis 4 5" Single Vac. Wafer Robot Please refer closely to all photos before purchasing. Used. Appears to be in very good shape from our visual inspection. Removed by us from working decommissioned equipment. Equipe ATM-104. Marked ATM-4456 on bottom. Cables are included. We are offering a 14-Day not DOA Guarantee. Less shipping. Thanks for looking- we appreciate your business! Please check out on eBay. Please Read: Other than what's displayed in the images accompanying this listing or mentioned in the description above. There are no manuals, instructions, additional parts or accessories. Unless otherwise stated in the description. The images show the ACTUAL or an ACCURATE REPRESENTATION of item that you are bidding on. All images are photographed by us and are the exclusive property of TELEVISIONARY ... moreCommunications and/or The Reuseum. Use of any material in this advertising is prohibited without express permission. Unless otherwise stated above. This item is sold strictly"AS IS, with no warranty. We TRULY& HONESTLY try to represent our auction items to the best of our abilities. Please understand that we are sometimes unfamiliar with an item. That said. You might notice times that the description is very brief or nonexistent. To compensate for our lack of expertise we start prices very low, with the intent that you might be interested in the item for its parts and AS IS condition. Please take this in consideration when bidding on our auctions. We try to take weekends off in order to preserve our marriages. If this auction is scheduled to end during the weekend. We'll be in contact with you on Monday. If you have technical questions, or need shipping quote information about this or any of our other auctions, please try to ask them by Friday morning. Any technical or shipping questions received over the weekend most likely will not be answered before the close of the auction. Shipping Information: Bidders outside the Continental U.S. including bidders in Alaska. Ha
LOT of 3 200mm Wafer Shipping Boxes, 25 Wafer Capacity
Item up for sale is a: LOT of 3 200mm Wafer Shipping Boxes 25 Wafer Capacity Item is used and is untested other than what is indicated below: If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. 20 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. Payment options: PayPal only or contact us for payment methods to pay on pickup. Warranty for US shipments: 14 days testing period unless the auction specified as final sale. Buyer must return the product back to the company in a timely manner. Refund upon receiving and inspecting of product and for the cost of the product only. Restocking fee ... moremay apply for the new product shipped. Warranty for International shipments: All international orders are final. No exception. Shipping: Buyer is responsible for all shipping cost including returns. Please note for domestic shipments(within US) we ship Fedex ground only. But you can use UPS ground shipping rates(calculated automatically by ebay) to estimate the shipping charges. Actual Fedex ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FEDEX does not ship to PO Boxes- please provide us with a regular address prior to completing the transaction. International Shipments: International shipments are shipped by USPS global express mail if such option is available. We process international shipments twice a week. Please note that Buyer is responsible for all custom duties/taxes/fees where applicable. Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding/buying. We do not mark mercha
Fluoroware A82M 3" wafer carrier
Fluoroware A82M 3" wafer carrier; used in good conditions XuMatic Inc is a high technology company dedicated to developing thin film solar cells technology. Semiconductor equipment and solar materials to produce solar energy. Its mission is to design and implement new solar energy equipment and materials including copper indium gallium selenide(CIGS) thin film deposition process enabling lower solar cell prices and wider adoption of solar power. Surplus Equipment is sold as is and Xumatic Inc shall not be liable for any use of misuse of this product Contact: info@xumatic.com; websit: www.xumatic.com
Faith Rapitran 3, Wafer Transfer System, model 822171
Faith Rapitran 3 Wafer Transfer System model 822171
Karl Suss MJB21 3" Wafer Mask Aligner w/2 505 Optical Energy Controllers+Cables
Karl Suss MJB21 3" Wafer Mask Aligner Type 10100008 with(2) Model 505 Optical Energy Controllers plus Cables*Powers Up! ~14 Day Right of Return~ FREE SHIPPING! Because of the Size& Weight of this item. Please NO INTERNATIONAL BIDDERS. S/N 517 S/N 370194 S/N 38045X Please note: This item is in GOOD cosmetic condition with some scratches from normal wear. See pics. This Unit powers up and was pulled from a working enviroment however it is out of our area of expertise thus we do not know how to properly test it. You are welcome to send questions about the item and if you are a local buyer you are welcome to come and inspect it prior to buying/bidding. Please call us for hours of operation. 626-472-7500. Unit carries a 14 Day Right of Return. Table is for picture purposes only and is not included with this item. Please Note: The total ... moreweight of this item including packaging is: 350 lbs. We will palletize this item for shipping. We will This item carries a 14 Day Right of Return provided that our warranty seals are intact and there is no evidence of user misuse; in order to allow the buyer time to confirm the unit is in working condition. And ONLY IF the item listed above is not as pictured or described in the listing. Items are as pictured below. If you are unsure about the completeness or condition of an item. Please contact us before placing your bid! Please read all of our terms of auction below before placing a bid. Terms of Auction: Payment arrangements are to be made within 3 days of purchase of item. You will receive an email from eBay with payment instructions and a place to tell us how you would like to complete your purchase. A courtesy Payment Reminder will be sent on the 5th day of non payment. Item will be placed back upon eBay if payment is not received within 8 days of the closing of the item. Only items pictured above are included in the listing. There are no manuals or power cords included unless otherwise noted in the picture or description. All items are sold AS-IS. Unless otherwise stated
24-Wafer Carrier/Shipping Box Clear 7"W x 5 3/4"D x 6 3/4"H P/N 60956 N60956
Up for Sale are slightly used wafer carrier/ holder These came out of a shop that manufactures semi conductor machinery They no longer have any use for these Measurements are 7"Wide x 5 3/4" Deep x 6 3/4" High Location Pallet D
PURE WAFER 300MM Wafer Carrier w/ 3 300mm Wafers (M85:0)
300MM Wafer Carrier w/ 3 Wafers(M85:0)
MACTRONIX INC, TBN-300, wafer flat finder, setup for EBS-3-76-25, (lot of 2)
Absolute TF3A6A MACTRONIX INC. TBN-300, wafer flat finder, setup for EBS-3-76-25, lot of 2) ABSOLUTE has used its best efforts to inspect the item(s) for sale. Create descriptions and furnish photographs that fairly and adequately depict the visual condition of the item at the time of sale. We will performance test items within the realm of our technical expertise. Some items may fall outside of that realm. Absolute makes no expressed or implied warranties or representations regarding the condition or suitability of the goods and to the extent allowed by law, specifically disclaims any expressed or implied warranties, including but not limited to any warranty of merchantability or fitness for purpose. Therefore Absolute offers its customer’s a 14-day DOA warranty on all its listings with the exception of items listed for parts or repair. ... moreThey are non-returnable. All items are to be paid for in U.S. dollars(NO Exceptions) at the end of the auction or time of order unless a separate agreement has been made before hand. Within one business day of the end of auction or your order placement you will be contacted via email about the items you purchased and the total amount due.
Tokyo Electron TRIAS Complete Metal CDV Wafer Fab System w/ 3 - FOUP Loaders
Item up for sale is a: Tokyo Electron TRIAS Complete Metal CDV Wafer Fab System w/ 3- FOUP Loaders This unit was operational when the unit was removed from service due to a lease expiration. It has been very well package and has been stored in a environmentally sound warehouse for the past year. Will consider all offers. Call Terry at 408-762-7211 for additional pictures. If you would like to make a site visit, or if you have any questions. The system is crated on 10 pallets and ready to ship. Will work with you to arrange freight shipment to your location. Price does not include shipping. Here is what is included: QTY-3 FOUP Front Loaders Transfer Module Front Interface Chamber E Rack Power Distribution Rack Transformer UPS System If you have any questions regarding this item please feel free to contact me and I will do my best to answer ... moreyour questions Picture represents the actual item. This is a freight shipment. Weight and size of pallets to be given at time of sale Included is only what is mentioned. ============================================================================ Terms of Sale Payment Options Contact us for payment methods. Bank transfers or payments using a Cashier’s Check may be available. Contact us for additional information and fees. RETURNS Item sold as-is. No refunds. No returns. SHIPPING To be arranged at the time of purchase. Shipping cost is responsibility of buyer. International Shipping Limitations Export controlled items require certificate of usage to be filled out for review to be in compliance with Export Administration regulations. Diversion contrary to US Law prohibited. You will be contacted if the item you purchased has export restrictions and requires additional documentation to ship. You are responsible to completely fill out the documentation and return it before your shipment will be considered. Incomplete forms or forms returned with information where a determination or how the product is going to be used. Or the final destination of the item cannot be determined, the
25x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished
Search our eBay Store! 25x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished SKU: JV-MAT-C-USEDLOT25CT Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge 25x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished Model: 99-00629-01 Physical Condition: Good. No visible damage to wafers. Package Dimensions(L" x W" x H" 3.5*6.375*3.75 Wafer Dimensions: 3”x0.5mm THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. ... moreFood and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us before leaving feedback or opening a case. Let us save you time& aggravation by showing
Deltronic Crystal Industries 6275 Lithium Niobate Wafer 3”x.25mm LiNbO3 Optics
Search our eBay Store! Deltronic Crystal Industries 6275 Lithium Niobate Wafer 3”x.25mm LiNbO3 Optics SKU: JV-MAT-C-25MM6275NI Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Deltronic Crystal Industries 6275 Lithium Niobate Wafer 3”x.25mm LiNbO3 Optics Model: 6275 Physical Condition: Good. No visible damage to wafer. Functionality/Degree of Testing: We do not have the necessary resources to properly test this unit. Package Dimensions(L" x W" x H" 3.5*3.5*0.5 Wafer Dimensions: 3”x0.25mm THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET MANAGEMENT. INC. MILPITAS. CA ... more95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us befo
21x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished
Search our eBay Store! 21x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished SKU: JV-MAT-C-POLISHED629 Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge 21x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished Model: 99-00629-01 Physical Condition: Good. No visible damage to wafers. Package Dimensions(L" x W" x H" 3.5*6.375*3.75 Wafer Dimensions: 3”x0.5mm THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. ... moreFood and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us before leaving feedback or opening a case. Let us save you time& aggravation by showing
8x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished
Search our eBay Store! 8x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished SKU: JV-MAT-C-LASTWAFERLOT Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge 8x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished Model: 99-00629-01 Physical Condition: Good. No visible damage to wafers. Package Dimensions(L" x W" x H" 3.5*6.375*3.75 Wafer Dimensions: 3”x0.5mm THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food ... moreand Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us before leaving feedback or opening a case. Let us save you time& aggravation by showing
10x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished
Search our eBay Store! 10x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished SKU: JV-MAT-C-HALFMILLIX Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge 10x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished Model: 99-00629-01 Physical Condition: Good. No visible damage to wafers. Package Dimensions(L" x W" x H" 3.5*6.375*3.75 Wafer Dimensions: 3”x0.5mm THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food ... moreand Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us before leaving feedback or opening a case. Let us save you time& aggravation by showing
ELECTROGLAS 405 Micro Manipulator Positioner for wafer, vacuum base, 3-axis XYZ
ELECTROGLAS 405 Micro Manipulator Positioner for wafer. Vacuum base, 3-axis XYZ Manual + Stepper Motors
planetary wafer holder for 3" (75mm) diameter wafers, diameter 18.5"
This is one of four stainless steel planetary wafer holders for thermal deposition of thin films onto wafers. They were used to deposit metals and so will likely need a clean. Some of the spring clips will require some rebending. Depending on your needs. We are not doing this type of deposition now. These are ideal for a university lab, or any company doing thermal evaporation. Although they are too large for the international shipping option. If you are an interested foreign buyer, contact us at sales@shapechange.com for shipping options.
Nikon 4S587-469-3 Linear Motor Controller SPA452A Nikon NSR-S307E Wafer Loader
This Nikon 4S587-469-3 WYL linear motor controller is used working surplus. The physical condition of the linear motor controller is good and clean. But may have some minor scratches from use and handling. It was removed from a Nikon NSR-S307E wafer loader. Part No: 4S587-469-3 Model No: SPA452A WYL Voltage: 200V Frequency: 50/60 Hz Weight: 25 Kg Full Load Current: 13 A Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Contact for Shipping Information. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For ... moremultiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA.
Nikon 4S587-470-3 Linear Motor Controller SPA452B Nikon NSR-S307E Wafer Loader
This Nikon 4S587-470-3 WYR linear motor controller is used working surplus. The physical condition of the linear motor controller is good and clean. But may have some minor scratches from use and handling. It was removed from a Nikon NSR-S307E wafer loader. Part No: 4S587-470-3 Model No: SPA452B WYR Voltage: 200V Frequency: 50/60 Hz Weight: 25 Kg Full Load Current: 13 A Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Contact for Shipping Information. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For ... moremultiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA.
LOT OF 4 USED 3" MONSANTO WAFER CARRIERS
aLL cARRIERS ARE USED- tHEY HAVE BEEN SCRAPPED FREE OF ANY LABELS AND/OR STICKERS FROM PREVIOUS USE- tHEY HAVE ALSO BEEN CLEANED. RINSED& HEAT DRIED VIA THE DISHWASHER- THERE IS WEAR FROM USE BUT NOTHING SO MUCH AS TO HAVE TO LIST IT SPECIFICALLY- LOT OF 4 USED 3" mONSANTO WAFER cARRIERS- MEASUREMENTS ARE AS FOLLOWS: 8 IN LENGTH 4 1/2 WIDE 4 IN DEEP
VLSI Resistivity Standard Silicon Wafer RS3-3.0 Ohm Cm 76 Mm
Vlsi 3.0 ohm cm silicon resistivity wafer In very good used condition Not in original package. Container says 30 but the wafer is scribed 3.0 As is. No returns unless unit arrives in non-working condition US bidders only please Payment due within 3 days of listing closure. Item will ship within 3 business days of receiving cleared payment. Thanks for looking Posted with
Kensington CSMT-3 multi-station Wafer Handler and Sorter Maps Merges Splits
Kensington Laboratories CSMT-3 multi-station Wafer Handler and Sorter Excellent working used condition. Actual item pictured. CSMT-3. Multi-Station Wafer Handler& Sorter Manufacture: Kensington Model# CSMT-3 Description: Multi-Station Wafer Handler and Sorter for 150mm Spesifications: 3 Stations: Maps/ Sorts/ Merges/ Splits/ Randomizes OCR System Electropolished Stainless Cabinet Surpasses Class 1 Requirements: Tilting Ergonomic Carriers Noncontact Optical Wafer Prealigner for Flats/ Notches Touchscreen Color Graphical User Interface Power& Vacuum Failsafe Backup Will ship this on a pallet. Email or call us at 916-225-3615 for quote or arrange your own freight service. Notice: Before purchase. Please be sure to check correct item type/model needed. Terms& Conditions PAYMENT: Full payment is due within 3 days. Preferred payment ... moremethod is PayPal. We do accept card payment at 916-225-3615. We may process your payment as per your organizations policy if needed. For specific payment needs or company invoice please email or call us at 916-225-3615. International Buyers: We do ship all items worldwide. Email us if you need a shipping quote or have any questions. We can ship via USPS, UPS or FedEx, if you prefer for us to use your ups/FedEx account number please provide your account# upon purchase. Make sure your shipping address is correct and a phone number included. Customs and duty fees and/or taxes are the buyer's responsibility. SHIPPING: We will ship your item(s) within 1-2 business days after receipt of a cleared payment. We may combine shipping if the items fit in the same package. If you wish to use your shipping service or shipping account# please let us know upon purchase. Notify us if you have any special shipping/handling requests. We ship with USPS UPS and FedEx. RETURN POLICY: We offer a 14-day return on all products. If item arrives damaged or not as described or due to any error on our part, we will refund the full amount with ship charges both ways. If buyer decides to return an item fo
3" wafer fab for 1 micron geometries
3" wafer fab for 1 micron geometries) Well suited for University Nanotechnology lab or R&D facilities) 10% Deposit/NET 30 Terms for US universities All machines listed below will be refurbished and shipped in good condition. Fully operational. Allow 8-12 weeks for delivery Diffusion furnace. 3 tube(custom gas cabinet quoted separately) PVD Electron beam evaporator with 4 pocket gun Table top RIE(Reactive Ion Etcher) Programmable photo resist spinner 3" mask aligner. 1 micron resolution(shown in photo) 400x microscope with dark field(1) 4 foot with hotplate and(1) 6 foot polypropylene wet station with hotplate Profilometer Plasma asher High resolution probe station with 2 probes Spin rinser dryer Wire bonder Die bonder Die shear/pull tester High temperature vacuum oven(400c for wafers) Vapor prime oven Hepafilter oven FREE SHIPPING ... moreIN THE US MAINLAND CALL 978 771-0481 FROM 9AM-5PM EST FOR DETAILS AND PHOTOS
SensArray: 1790F-3-0001 Thermocouple 3" Probe Wafer.
Up for auction is a SensArray: 1790F-3-0001 Thermocouple 3" Probe Wafer used and in good condition. Has 5 connectors.comes in box with manual.
Fujtsu 3 Reactor 6" Wafer PECVD System Cassette Wafer track. Pumps required
Fujitsu 6" PECVD system Model PC-III EX-WF3 with 3 Independent Wafer Processing Chambers. Controls, RF, and Gas Manifolds: With wafer track handling(Please note- only ONE chamber sub-system is shown) Gas Circuits installed. PH3 Phosphne NF3 Nitrogen Triflouride N2O Nitrous Oxide SiH4 Silane Ammonia NH3 7" Shower-Heads Three Wafer Machine is approximately 8' Tall x 10' Wide x 8' Deep Weight approximately 6000lbs We will crate at cost + 10% for PU at our Standard Height Dock. If you think our price is too high. Please give an offer to make us both happy! Perfect for Ge and GaAs wafers. Etc, etc, etc, If you want"big picture" images. Just let us know. The"action" is in the images you see in this listing.
AMAT Quantum Leap 3 0020-10488 Wafer Transfer Arm used working
This AMAT 0020-10488 wafer transfer arm is used working surplus. There are some minor scratches to the outer housing of this unit. Otherwise the physical condition is good and clean. System: AMAT Quantum Leap 3 300mm. Process Module Transfer Arm AMAT Part No: 0020-10488 Servomotor Brand: Pacific Scienctific Model No: S32GMAA-I-INNM-02 Made in USA Coupling Brand: Gerwah Typ: AKN 60 Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple ... morelisted items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ5 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in T
10 Empak Silicon Wafer Box Case Holds 25 - 19.6-21.6mm Thick & 3.5in wafer? USED
You are bidding on 10 Vintage wafer boxes! These are old wafer boxes that can hold up to 25 silicon wafers. Looks to me that they hold wafers that are 19.6-21.6mm in thickness and around 3.5 in diameter. Measurements might not be accurate. These are used and sold as is.
Empak Silicon Wafer Box- Case Holds 25 - 19.6-21.6mm Thick & 3.5inch wafer? USED
Vintage wafer box! This is an old wafer box that can hold up to 25 silicon wafers. Looks to me that it holds wafers that are 19.6-21.6mm in thickness and around 3.5 in diameter. Measurements might not be accurate. This is used and sold as is.
Pacific Western Systems P5NMS PSC Semi-Auto Wafer Probing Inspection Station #3
Search our eBay Store! Pacific Western Systems P5NMS PSC Semi-Auto Wafer Probing Inspection Station#3 SKU: JV-MAT-C-PWSP5NMSWAFER Condition: For parts or not working Packaging: Pallet/Skid Warranty: 30 day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are only included if pictured or listed in description. If in doubt, please contact us before purchasing. Click an Image to Enlarge New Page 1 Pacific Western Systems P5NMS PSC Semi-Auto Wafer Probing Inspection Station#3 BEING SOLD AS-IS FOR PARTS OR REPAIR Model: P5NMS Listing Includes: 1x Pacific Western Systems P5NMS Wafer Probing Inspection Station 1x Olmpus SZ40 Microscope w/ 2x GSWH20X/12.5 Eyepieces 1x CV-950 Super Fine Color CCD Camera 1x Dell Ultrascan P 780 Monitor 1x Pacific Western Systems Probe II Unit ... more1x Dolan-Jenner Industries Fiber-Lite High Intesity Illuminator Series 180 Miscellaneous Boards· Main unit powers on. Other components are untested. Dimensions(L”xW”xH” 40.5*50*78.5 As a large liquidator for many vendors. Our rating system is as follows. On a scale from 1- 10. With 10 being in new or excellent condition, this item is rated as a 7. SEE PHOTOS. All images taken in house of the unit(s) being sold. Except for multiple quantities where item received will be in similar or better condition. Please review images thoroughly before purchasing. Additional information may be found searching online. We do not have additional info. Only pictured items will be included. All parts. Including accessories and cables are only in cluded if pictured or listed in the description. All pictures are taken in house. If you require more information. Please contact the manufacturer and/or research online. As a large liquidator, we do not carry additional information beyond what has been provided to us above. This FDA DISCLAIMER is for MEDICAL DEVICES only and not for all our products: The sale of this item may be subject to regulation by the U.S. Food and Drug Administrat
3396 3 Quartz 3”, 15 Slots Wafer Carriers (Quartz Boats)
You are Buying a 3 Quartz 3” 15 Slots Wafer Carriers(Quartz Boats) 0 0 1 21 121 North Texas Surplus 1 1 141 14.0 Normal 0 false false false EN-US JA X-NONE Used. Excellent Condition. Sold As Is. 3 Quartz Wafer Carries(Quartz Boats) 3” 15 Slots 3396 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge ... morefor freight will be determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
3415 3 Quartz 3”, 25/39 Slots Wafer Carriers (Quartz Boats)
You are Buying a 3 Quartz 3” 25/39 Slots Wafer Carriers(Quartz Boats) 0 0 1 23 137 North Texas Surplus 1 1 159 14.0 Normal 0 false false false EN-US JA X-NONE Used. Excellent Condition. Sold As Is. 3 Quartz Wafer Carries(Quartz Boats) 3” 2x 25 Slots 1x 39 Slots 3415 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to ... morebidding. The charge for freight will be determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
3428 2 Quartz 3” 25 Slots Wafer Carriers (Quartz Boats)
You are Buying a 2 Quartz 3” 25 Slots Wafer Carriers(Quartz Boats) 0 0 1 21 120 North Texas Surplus 1 1 140 14.0 Normal 0 false false false EN-US JA X-NONE Used. Excellent Condition. Sold As Is. 2 Quartz Wafer Carries(Quartz Boats) 3” 25 Slots 3428 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge ... morefor freight will be determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
3424 2 Quartz 3”, 24/50 Slots Wafer Carriers (Quartz Boats)
You are Buying a 2 Quartz 3” 24/50 Slots Wafer Carriers(Quartz Boats) 0 0 1 23 137 North Texas Surplus 1 1 159 14.0 Normal 0 false false false EN-US JA X-NONE Used. Excellent Condition. Sold As Is. 2 Quartz Wafer Carries(Quartz Boats) 3” 1x 24 Slots 1x 50 Slots 3424 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to ... morebidding. The charge for freight will be determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
3421 4 Quartz 3”, 25/50 Slots Wafer Carriers (Quartz Boats)
You are Buying a 4 Quartz 3” 25/50 Slots Wafer Carriers(Quartz Boats) 0 0 1 23 137 North Texas Surplus 1 1 159 14.0 Normal 0 false false false EN-US JA X-NONE Used. Excellent Condition. Sold As Is. 4 Quartz Wafer Carries(Quartz Boats) 3” 2x 25 Slots 2x 50 Slots 3421 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to ... morebidding. The charge for freight will be determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
3420 3 Quartz 3”, 50 Slots Wafer Carriers (Quartz Boats)
You are Buying a 3 Quartz 3” 50 Slots Wafer Carriers(Quartz Boats) 0 0 1 21 122 North Texas Surplus 1 1 142 14.0 Normal 0 false false false EN-US JA X-NONE Used. Excellent Condition. Sold As Is. 3 Quartz Wafer Carries(Quartz Boats) 3” 50 Slots 3420 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge ... morefor freight will be determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bfg2%3E-1471629e596-0x107-
3419 4 Quartz 3”, 25 Slots Wafer Carriers (Quartz Boats)
You are Buying a 4 Quartz 3” 25 Slots Wafer Carriers(Quartz Boats) 0 0 1 21 121 North Texas Surplus 1 1 141 14.0 Normal 0 false false false EN-US JA X-NONE Used. Excellent Condition. Sold As Is. 4 Quartz Wafer Carries(Quartz Boats) 3” 25 Slots 3419 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge ... morefor freight will be determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
3413 4 Quartz 3”, 50 Slots Wafer Carriers (Quartz Boats)
You are Buying a 4 Quartz 3” 50 Slots Wafer Carriers(Quartz Boats) 0 0 1 21 121 North Texas Surplus 1 1 141 14.0 Normal 0 false false false EN-US JA X-NONE Used. Excellent Condition. Sold As Is. 4 Quartz Wafer Carries(Quartz Boats) 3” 50 Slots 3413 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge ... morefor freight will be determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*05e54c%3E-1471695a434-0x10a-
3412 3 Quartz 5”, 13/26 Slots Wafer Carriers (Quartz Boats)
You are Buying a 3 Quartz 5” 13/26 Slots Wafer Carriers(Quartz Boats) 0 0 1 23 137 North Texas Surplus 1 1 159 14.0 Normal 0 false false false EN-US JA X-NONE Used. Excellent Condition. Sold As Is. 3 Quartz Wafer Carries(Quartz Boats) 5” 1x 13 Slots 1x 26 Slots 0 0 1 8 48 North Texas Surplus 1 1 55 14.0 Normal 0 false false false EN-US JA X-NONE 3412 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or ... moreif a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge for freight will be determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
AMAT Quantum Leap 3 Process Module 0021-06737 Wafer Transfer Arm used working
This AMAT 0021-06737 wafer transfer arm is used working surplus. There are some scratches from previous use and handling. Otherwise the physical condition is good and clean. System: AMAT Quantum Leap 3. Process Module Transfer Arm AMAT Part No: 0021-06737 Servomotor AMAT Part No: 1080-90120 INMOCO Part No: C0591/12 Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: 36”x 24”x 18” 32 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that ... morewill be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ5 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will b
3423 2 Quartz 3”, 50 Slots Wafer Carriers (Quartz Boats)
You are Buying a 2 Quartz 3” 50 Slots Wafer Carriers(Quartz Boats) 0 0 1 21 121 North Texas Surplus 1 1 141 14.0 Normal 0 false false false EN-US JA X-NONE Used. Excellent Condition. Sold As Is. 2 Quartz Wafer Carries(Quartz Boats) 3” 50 Slots 3423 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge ... morefor freight will be determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
3418 3 Quartz 5”, 50 Slots Wafer Carriers (Quartz Boats)
You are Buying a 3 Quartz 5” 50 Slots Wafer Carriers(Quartz Boats) 0 0 1 21 121 North Texas Surplus 1 1 141 14.0 Normal 0 false false false EN-US JA X-NONE Used. Excellent Condition. Sold As Is. 3 Quartz Wafer Carries(Quartz Boats) 5” 50 Slots 3418 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge ... morefor freight will be determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
Heateflex Benchtop PTFE Recirculating Wafer Etch Bath Washer (UCT402-6-1-1-3)
Heateflex Benchtop PTFE Recirculating Wafer Etch Bath Washer(UCT402-6-1-1-3) Heateflex UCT402-6-1-1-3 Benchtop PTFE Recirculating Wafer Etch Bath Washer 120V. 20A, 50/60Hz Outer Dimensions: 23.25" x 15" x 16.5" Inner Dimensions: 20.25" x 11.25" x 11.25" If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48441
Vintage Silicon WAFER with diameter of 3 1/4 inches
Vintage Silicon WAFER( approximately from 1980's? due to small size) Diameter 3 1/4 Inches This item is sold as is. Photos are descriptions.
Vintage Silicon WAFER diameter of 3 1/4 inches early computer chip technology
Vintage Silicon WAFER Early computer chip technology( approximately from 1980's? due to small size) Diameter 3 1/4 Inches This item is sold as is. Photos are descriptions. 1?RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2B%3E%3B1%3F-1477525abd7-0x11b-
LOT OF 333 VARIOUS SILICON WAFERS 2" 3" 4" 5"& 6" W/3 WAFER CARRIERS
LOT OF 333 VARIOUS SILICON WAFERS 2" 3" 4" 5" 6" W/3 WAFER CARRIERS 130 2" SILICON WAFERS OF VARIOUS COLORS- PASTELS-CHROME-MULTI COLORED SIDES 173 3" SILICON WAFERS 118 OF VARIOUS CHROME FINISHES/EXPERIMENTS 37 THAT ARE RAW FORM 18 THAT ARE VARIOUS COLORS 20 4" SILICON WAFERS OF VARIOUS FINISHES 4 5" SILICON WAFERS OF VARIOUS FINISHES 6 6" SILICON WAFERS OF VARIOUS FINISHES THEN THERE IS A CONTAINER OF BROKEN WAFERS THAT HAVE GOLD- THE 6" WAFER CARRIER IS A EMPAK ULTRA 150mm THE 4" WAFER CARRIER IS A EMPAK ULTRA 100mm PH9100 THIS CARRIER IS SEALED IN PACKAGE WITH NO WAFERS INSIDE THE 2" WAFER CARRIER IS A FLUOROWARE A50-20 NONE OF THE SILICON WAFERS HAVE ANY PATTERNS ON THEM. THE MAJORITY APPEAR TO BE EXPERIMENTAL OR TEST WAFERS WITH DIFFERENT TYPES OF METALS& STUFF. A ... moreLOT OF THE 3" WAFERS HAVE#S& OTHER STUFF ENGRAVED ON THEM. THEY VARY IN THICKNESS. IF YOU HAVE ANY QUESTIONS PLEASE FEEL FREE TO MESSAGE
3 Inch Diameter Silicon Wafer from late 1980's, no. Y22-44 C82
For your consideration. Here is a 1980'S 3 Inch Diameter Silicon Wafer, no. Y22-44 C82. This was purchase in late 1980's, in San Jose, California. It is in nice shape with very little wear on it. There are some photos and a scan of it. This wafer is sold for interest only. It is non-functional. It will be mailed well protected, in a box. Item pictured is the actual item up for sale and comes from a non-smoking household. 200.979f-7od) TERMS OF SALE: SHIPPING All US orders include an online tracking number. I will gladly combine shipping on like items. If you make multiple purchases. I will send a combined invoice with a usually reduced shipping amount. Please wait for that before paying. PAYMENT Payment is due by seven calendar days after the purchase is made. Washington state residents pay Washington state sales tax. COMMUNICATIONS ... moreAll questions and comments are welcome! I will send an invoice to you. Usually soon after the purchase, but no later than 24 hours. Please check your email filters if you do not receive anything. PROBLEMS If you have any problems or concerns about the transaction. Please contact me regarding a resolution, prior to leaving feedback. Thanks for checking it out and I look forward to doing business with you! Powered by The free listing tool. List your items fast and easy and manage your active items. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B2d71f%2B4g7%3E-147e95426c6-0x10e-
3" FLUOROWARE - A82-M - WAFER CASSETTE CARRIER (25 SLOT) & PE38 - FLUOROWARE BOX
3" FLUOROWARE- A82-M- WAFER CASSETTE CARRIER(25 SLOT) PE38- FLUOROWARE BOX
3" - EMPAK - PH66-30-01 - WAFER CASSETTE - 25 SLOT & EMPAK LID PH66-30-02
3" EMPAK- PH66-30-01- WAFER CASSETTE- 25 SLOT& EMPAK LID PH66-30-02
WEI Wafer Carrier Transport System, Diffusion Furnace Elevator Robotic, 3 Axis
The system comprises a two. Three or four short pin engagement system for engaging a wafer carrier for transporting semiconductor wafers by means of a three axis robotic transportation system for the purpose of processing semiconductor wafers in a factory. The multiple pin assembly allows a large reduction in travel distance with respect to prior art long rod engagemen. This system is designed to raise and lower wafer cassettes onto Silicon Carbide Paddles or other transport on the diffusion furnace load station as well as interface with optional WIP(Work in Progress) staging system. Can load and unload both Teflon Cassettes and Quartz boats. Interface with Mactronix wafer transport system and diffusion furnace. Triple axis on the robotic transportation system as well as ability to raise and lower wafers allows for multi faceted use. All ... moreStainless steel top frame. Steel support frame and Teflon Coated end effector to remove wafers at heat. Removed from a fab in Operational Condition. Load Station with Cantilevers and WIP Rack with Mactronix Systems also available. Check Out: Purchase: Making purchases is easy and simple. To place an order for procurement. Please click the“Buy It Now” button. Follow to the next page for payment instructions. Payment: Click the“Pay Now” button at the top of the E-Bay page to proceed with the checkout and payment process. Payment must be made within 5 days of purchase. Accepted Forms: We accept PayPal. Or you may pay on your credit card via PayPal. When paying via PayPal. Payments must include your shipping address and should be submitted via the E-bay payment process. Sales Tax: For California customers. Sales tax is added and depends upon your location. If you are tax exempt. Please provide a copy of your Tax Exempt Certificate prior to check out. Please do not send payment before receiving a revised invoice. Shipping: Shipping rates are the responsibility of the customer and are not refundable. Rates include handling. Packaging materials, label scanning and tracking info
Vintage Single Crystal Silicon Blank WAFER 3 1/4" Diameter Early Computer Chip
Vintage Single Crystal Blank Silicon WAFER One side polished single crystal silicon One side coated with gold or copper Early computer chip technology( approximately from 1980's? due to small size) Diameter 3 1/4 Inches Small Chip on the Edge( see the last photo) This item is sold as is. Photos are descriptions.
Olympus U-LH100-3 Wafer Review Head AMAT 0090-A0420 Used Working
This Olympus U-LH100-3 Wafer Review Head is used working surplus. The physical condition is good. But there are signs of previous use and handling. Includes lens objectives. Part No: U-LH100-3 AMAT No: 0090-A0420 Lens Objective Part No: MPlanApo 2.5x/0.08, Lens Objective Part No: LMPlanApo-NS 150x/0.90 BD Lens Objective Part No: UMPlanFl-NS 50x/0.80 BD Lens Objective Part No: UMPlanFl 5x/0.15 BD Lens Objective Part No: UMPlanFl 20x/0.46 BD Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 22"x30"x22" 95 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. ... morePictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number, date or country of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 11 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 7.8% Sa
NIKON OPTISTATION-7 WAFER INSPECTION SYSTEM with ULPA FILTRATION SYSTEM #3
I have 2 more of these available check my other auction. NIKON IC MANUFACTURING INSPECTION WORKSTATION for 300mm Wafer inspection Station plus ULPA Filtration System I will rate it at 9 out of 10.All the accessories were replace with NEW parts. This is a great.Great Buy if your company uses semilar machine. System is complete with. COMPUTER, MICROSCOPE, CAMERA and ULPA FILTRATION SYSTEM. WE HAVE QTY 3 of these available with the same condition and accessories 300mm Inspection tool. 2 FOUP front end load ports: Asyst ISO Port FL300 with mapper and RFID, integrated ULPA filtration system, Air suspension vibration isolation table, Standard microscope unit with automated control, 10X eyepieces, Objective lens: 2.5X N.A 0.075 W.D. 8.8mm 10X N.A. 0.300 W.D. 6.50mm 20X N.A. 0.460 W.D. 3.10mm 50X N.A. 0.900 W.D 0.42mm 150X N.A. 0.900 W.D. 0.29mm ... more12V 100W halogen Lamp House, Robot and Robot Controller, Ceramic Robot Arm, Ceramic Rotation Arm, Macro Unit A, Wafer Chuck, Macro Movable Unit, Slider Assembly, Macro Unity B, Arched Holder, Rotation Unit Assembly, Micro Stage Unit, XY Stage/ Chuck, X,Y,Z drive units, DART Contoller for image archiving and defect review, LCD Display, Keyboard& Trackball, Image Capture Board: Integral Technologies Inc video card, Sony Camera: DXC-9XX/MD
Lot of 2 Quartz 4.3/8”, 25,27 Slots Wafer Carriers (Quartz Boats)
BIN: 2223 Lot of 2 This item(s) are in good working condition. Item(s) is Sold As Is Item comes to you exactly as pictured. USPS SHIPPING! Thanks ✔ Please! We want all buyers to be happy with their purchase. Send us a E-Mail on E-Bay ✔ Please select only the first one ✔( I have a question about using my item or I want to send the seller a message) I will work with you. `4`.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d71f%2B%3E%604%60-14abb8cffad-0x10c-
Silicon Wafer Green, Blue Lot of 3 in Box
Silicon Wafer Green. Blue Lot of 3 in Box Used Store Location SG 2A4A Normal 0 false false false MicrosoftInternetExplorer4 Absolute ABSOLUTE has used its best efforts to inspect the item(s) for sale. Create descriptions and furnish photographs that fairly and adequately depict the visual condition of the item at the time of sale. We will performance test items within in the realm of our technical expertise. Some items may fall outside of that realm. Absolute makes no expressed or implied warranties or representations regarding the condition or suitability of the goods and to the extent allowed by law, specifically disclaims any expressed or implied warranties, including but not limited to any warranty of merchantability or fitness for purpose. Therefore Absolute offers its customer’s a 14-day DOA warranty on all its listings with the exception ... moreof items listed for parts or repair. They are non-returnable. All items are to be paid for in U.S. dollars(NO Exceptions) at the end of the auction or time of order unless a separate agreement has been made before hand. Within one business day of the end of auction or your order placement you will be contacted via email about the items you purchased and the total amount due. Packages are usually shipped within 48 hours of payment receipt(excluding Saturday/ Sunday and Holidays) International Buyers– Please Note: Import duties. Taxes, and charges are not included in the item price or shipping cost. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying.
150mm 6" Wafer Thickness Resistivity Master 1189 Microns 3.12 Ohm-cm SO5567 MSA
I have many sizes/thicknesses and also resistivity wafers so please email if interested in more. Free shipping to 48 states. All others inquire. Thanks! ec2.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3Eec2-14b56bc7a34-0x113-
4" Silicon Wafer With Large Squares #3
4" Silicon Wafer With Large Squares for Design Used. With missing pieces Store Location SG 3A5B Bin#3 Normal 0 false false false MicrosoftInternetExplorer4 Absolute ABSOLUTE has used its best efforts to inspect the item(s) for sale. Create descriptions and furnish photographs that fairly and adequately depict the visual condition of the item at the time of sale. We will performance test items within in the realm of our technical expertise. Some items may fall outside of that realm. Absolute makes no expressed or implied warranties or representations regarding the condition or suitability of the goods and to the extent allowed by law, specifically disclaims any expressed or implied warranties, including but not limited to any warranty of merchantability or fitness for purpose. Therefore Absolute offers its customer’s a 14-day DOA warranty ... moreon all its listings with the exception of items listed for parts or repair. They are non-returnable. All items are to be paid for in U.S. dollars(NO Exceptions) at the end of the auction or time of order unless a separate agreement has been made before hand. Within one business day of the end of auction or your order placement you will be contacted via email about the items you purchased and the total amount due. Packages are usually shipped within 48 hours of payment receipt(excluding Saturday/ Sunday and Holidays) International Buyers– Please Note: Import duties. Taxes, and charges are not included in the item price or shipping cost. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying. dhep.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bishm%3Ed%7Fhep-14b770f1a28-0x10f-
Wafer Vacuum Wand - Porta-Wand 3 Elite VPWE7300
Used and operational.
Empak Inc PX9100-05 Ultrapak 100MM Storage Wafer Carrier 25 Slot Lot of 3
Empak Inc PX9100-05 Ultrapak 100MM Storage Wafer Carrier 25 Slot Lot of 3 Used Store Location BP 3A8A Absolute A BSOLUTE has used its best efforts to inspect the item(s) for sale. Create descriptions and furnish photographs that fairly and adequately depict the visual condition of the item at the time of sale. We will performance test items within in the realm of our technical expertise. Some items may fall outside of that realm. Absolute makes no expressed or implied warranties or representations regarding the condition or suitability of the goods and to the extent allowed by law, specifically disclaims any expressed or implied warranties, including but not limited to any warranty of merchantability or fitness for purpose. Therefore Absolute offers its customer’s a 14-day DOA warranty on all its listings with the exception of items listed ... morefor parts or repair. They are non-returnable. All items are to be paid for in U.S. dollars(NO Exceptions) at the end of the auction or time of order unless a separate agreement has been made before hand. Within one business day of the end of auction or your order placement you will be contacted via email about the items you purchased and the total amount due. Packages are usually shipped within 48 hours of payment receipt(excluding Saturday/ Sunday and Holidays) International Buyers– Please Note: Import duties. Taxes, and charges are not included in the item price or shipping cost. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying.