Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
160.00
Buy It Now
$12.32 Shipping
Condition: Used
Location: Sacramento, United States
Don't miss out on the opportunity to take your operation to the next level with the March PLASMOD Plasma Etcher/Cleaner/Barrel Asher.
$
2850.00
Buy It Now
$148.60 Shipping
Condition: Used
Location: Pioneer, United States
$
2499.00
Buy It Now
$1880.00 Shipping
Condition: Used
Location: Israel
2008 pva tepla TECHNICS 100 plasma system Asher System Etcher Cleaner + Pump. Downstream microwave frequency O2 plasma asher for photoresist descum. 2.45 ... moreGHz regulated magnetron, 0-300W power. Max Short-Current Circuit: 16 A.
$
4900.00
Buy It Now
$350.00 Shipping
Condition: New
Location: Carson City, United States
$
650.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
175.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Tegal 50590-01 PCB Plasma Etching is used untested surplus and is being sold as-is. The physical condition is fair, but there are signs of previous ... moreuse and handling. Serial numbers or country of manufacture may vary.
$
950.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
PLASMA-THERM 700 PLASMA ETCHER SBC COMPUTER PCB. Logan Technologies, LP 2547602424.
$
1800.00
Buy It Now
Condition: Used
Location: Vista, United States
The Plasma Wand is a hand-held device that requires no external gas hookup; just plug it in and start cleaning! Perfect for small production facilities, ... moreresearch labs, universities, or anyone needing a small, hand-held plasma solution.
$
5900.00
Buy It Now
$350.00 Shipping
Condition: New
Location: Carson City, United States
Pump not included.
$
37750.00
Buy It Now
Condition: Seller refurbished
Location: Palisades Park, United States
March PX-1000 Plasma System Fully Refurbished with 6 Month Warranty Quick Shipment Possible. Also available with 1000 Watt RF Generator A Video of this ... moresystem in operation is available on request. The listed price is for a base unit consisting of: RFX600 RF Generator- 600 watts One set of Power and Ground Shelves. All standard system features. 2 MFC Controllers Options available include: 1000 Watt ACG10B RF Generator Additional Shelf Sets Additional MFC Controllers Vacuum pumps for Oxygen service. Or Hydrocarbon pumps for non- Oxygen Plasma processes. Vertical door system Please note: Other plasma systems are available. Including smaller March PX-500 and PX-250 systems, as well as Plasma Systems from other manufacturers. Over 50 Plasma Systems in inventory. For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for many years You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our facility is located in the metropolitan NYC area. Customers are welcome to visit for acceptance testing/inspection. Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount.
$
199.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
P/N 330422550. PLASMA-THERM 700 PLASMA ETCHER PCB. LOGANSEMI 2547602424.
$
5625.00
Buy It Now
Condition: For parts or not working
Location: Bosque Farms, United States
For us to check rates, we need the following info: 1. We are quick to respond and resolve. We do not have power cords to provide unless they are attached ... moreto the item or unless stated that they are provided.
$
1800.00
Buy It Now
Condition: Used
Location: Vista, United States
The Plasma Wand is a hand-held device that requires no external gas hookup; just plug it in and start cleaning! Perfect for small production facilities, ... moreresearch labs, universities, or anyone needing a small, hand-held plasma solution.
$
850.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
450.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
LAM RESEARCH 590/490 LOAD / UNLOAD ARM. LOGANSEMI 2547602424.
$
2399.95
Buy It Now
$499.00 Shipping
Condition: For parts or not working
Location: Sacramento, United States
We work hard to include a lot of pictures of each item. It is also subject to change during peak periods.
$
160.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Tegal Model 903e Plasma ETCHER SST TEMPERATURE PROBE. LOGAN TECHNOLOGIES, LP 2547734070.
$
250.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
TEGAL 9XX 99-200-005 SIS-5.
$
175.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Tegal Model 903e Plasma Etcher FRONT PANEL INTERFACE PCB. Logansemi 2547602424.
$
2100.00
Buy It Now
$400.00 Shipping
Condition: For parts or not working
Location: Canada
Up for sale is a used Plasmionique FLR 300-TT Plasma Coater as can be seen in the pictures. This has been tested for power on ONLY, therefore, it's sold ... moreas-is, as-described. Nothing else is included.No vacuum pump is included.
$
60.00
Buy It Now
Free Shipping
Condition: New
Location: Kansas City, United States
This product is ideal for use in various processes, and its chemical properties ensure optimal performance.
$
120.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Tegal Model 903e Plasma ETCHER Quartz Pins, Set of 6.
$
275.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
TEGAL PLASMA ETCHER 9XX 98-247-002, TSI. Logan Technologies, LP 2547602424.
$
275.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
Used. Not tested. We sell it at as is, where is . No warranty.no return.ID-DV-2-8/9ID-DV-3-1/2ID-DU-3-11Photos are for one piece only. Other items do ... morenot have photos. The model number is same . Subject to prior sale.Contact us by email if you have any questions.
$
395.00
Buy It Now
Free Shipping
Condition: Used
Location: Rochester, United States
Tegal 99-165-003.
$
280.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Logan Technologies, LP 2547602424.
$
8830.99
Buy It Now
$245.50 Shipping
Condition: Used
Location: Canada
$
250.00
Buy It Now
Condition: New – Open box
Location: Morgan Hill, United States
$
9999.99
Buy It Now
$350.00 Shipping
Condition: Used
Location: Milton Freewater, United States
March PX-500 Plasma System Fully Refurbished with 6 Month Warranty It can be made ready for very quick shipment. The listed price is for a base unit consisting ... moreof: RF Generator- 600 watts- Typically an RFX 600 as seen in separate photo. Note. A 300 watt power supply is shown in the photo with the PX-500, but it will be replaced with a 600 watt unit. One set of Power and Ground Shelves. All standard system features. 2 Flowmeter Gas Controllers Options available include: Additional Shelf Sets Vacuum pumps for Oxygen service. Or Vacuum Pumps with Hydrocarbon fluid for non- Oxygen Plasma processes. Please note: Other plasma systems are available. Including larger March PX-1000 and smaller PX-250 systems, as well as Plasma Systems from other manufacturers. Over 50 Plasma Systems in inventory. For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for over 35 years. You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our 50,000 square foot facility is located in the metropolitan NYC area. Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount.
$
425.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
326.70
Buy It Now
$100.00 Shipping
Condition: Used
Location: Ventura, United States
Drytek S100 Wafer Power System w Jennings RB2A-26N00 Relay& Cardwell Parts(RF) Drytek S100 Wafer RF Power System w Jennings RB2A-26N00 Relay& ... moreCardwell Parts Jennings RB2A-26N00 Glass Relay 20kVDC Cardwell 229-207-2 Roller Inductor Coil Cardwell 154-3-1 Air Variable Capacitor QTY = 4: High Energy Corp. HECHT50 120pf Ceramic Capacitors QTY = 1: High Energy Corp. CRC850 Surge Suppressor Aluminum plate dimensions: 6.0" x 6.25" This unit was pulled from a Drytek 100S Plasma Wafer Etcher Overall dimensions: 18.5" x 17" x 13.5" 45 LBS, If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48459
$
1200.68
Buy It Now
Condition: Used
Location: Buda, United States
SN: 1220218,TW63024. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole ... moreJackson at getsparesllc. (See Hours of Operation, above).
$
100.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
TEGAL PLASMA ETCHER 9XX 99-246-003. Logan Technologies, LP 2547602424.
$
60.00
Buy It Now
Free Shipping
Condition: New
Location: Kansas City, United States
The unit type of this product is gal, which makes it easy to use and handle. It is designed to enhance performance and ensure optimal results.
$
115000.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
Capable of sequential and simultaneous deposition of up to two targets at once. Substrates are loaded automatically by the load lock robot. Manual operation ... morealso available. RF Plasma Cleaning for conditioning substrates prior to deposition.
$
25.00
Buy It Now
$40.91 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
230.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
41999.00
Buy It Now
Condition: Used
Location: Singapore
Type: Reactive Ion Etcher (RIE). Make: Trion Technology. Model: Phantom II. Advanced Energy 3155082-220 RF Generator (Qty:2). Unit Specifications. Pfeiffer ... moreTPH 521 P C PMP02861H Turbo Pump.
$
1500.00
Buy It Now
Condition: For parts or not working
Location: Scotts Valley, United States
Tegal Corp 803 Inline Automatic Wafer RF Plasma Etcher Untested As Is Description Tegal Corporation 803 Inline Inline Automatic Wafter RF Plasma Etcher ... moreUntested As Is Many Useful Parts Cover removed in photos to show component views. This tool is heavy. Nearly 400 pounds, and will require freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Corp Manufacturer Part Number 803 Item/Ship Weight 350 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42622 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still sho
$
9999.99
Buy It Now
$350.00 Shipping
Condition: Used
Location: Milton Freewater, United States
$
23500.00
Buy It Now
Condition: Used
Location: Palisades Park, United States
Tegal 901e Plasma Etcher. Model:Tegal 901e Plasma Etcher. Includes: ENI ACG-10T 1000w 13.56 RF Generator S/N: 390 200-240v/ 1-Ph/ 60Hz. We are minutes ... morefrom Manhattan, and local to all 3 New York City Area Airports.
$
2549.80
Buy It Now
$600.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Tegal Corp 803 Inline Automatic Wafer RF Plasma Etcher Untested As Is Description Tegal Corporation 803 Inline Inline Automatic Wafter RF Plasma Etcher ... moreUntested As Is Many Useful Parts Cover removed in photos to show component views. This tool is heavy. Nearly 400 pounds, and will require freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Corp Manufacturer Part Number 803 Item/Ship Weight 350 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42622 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still sho
$
225.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Tegal Model 903e / 901E SHUTTLE REBUILD KIT.
$
275.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
Used. Not tested. We sell it at as is, where is . No warranty.no return.ID-DU-3-7Photos are for all. Price is only for one piece.Subject to prior sale.Contact ... moreus by email if you have any questions.
$
650.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
19250.00
Buy It Now
Condition: Used
Location: Longwood, United States
Plasma Etch BT-1/C Plasma Etcher / Plasma Cleaner. Includes vacuum pump. 70"x36”x32”Unit Weight.
$
57.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
TEGAL 9XX PCB. SECOND SOURCE SPI-98-223-002 REV A.
$
275.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
MKS Baratron 122AA-00010AB, 10 torr, From Tegal 901e 903e Plasma Etcher ID-DV-2-10-003All are in the photos. No other staff are included.Used. not tested. ... moreWe sell it at as is, where is . No warranty.no return.Subject to prior sale.Contact us by email if you have any questions.
$
350.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Tegal Model 903e Plasma Etche GAS INTERFACE PCB, ICG-5. 99-126-005 ICG-5 REV M.
$
225.00
Buy It Now
$18.40 Shipping
Condition: New – Open box
Location: Carpinteria, United States
Tegal 81-007-138. System was de-installed in working operational condition. WARRANTY IS NULL VOID IF ANY ITEM IS TAMPERED WITH, OPENED OR DISASSEMBLED. ... moreIF THERE ARE ANY PROBLEMS WITH THE ITEM DO NOT TRY TO REPAIR AND INVESTIGATE.
$
1053.58
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Jobin Yvon Monochromator H-10VIS is used working surplus. The unit includes fiber optic cable. Part No: H-10 VIS. Serial numbers or country of manufacture ... moremay vary. These products are subject to export restrictions under U.S. law.
$
275.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
MKS Baratron 122AA-00010DB, 10 torr, From Tegal 901e 903e Plasma Etcher ID-DV-2-10-002All are in the photos. No other staff are included.Used. not tested. ... moreWe sell it at as is, where is . No warranty.no return.Subject to prior sale.Contact us by email if you have any questions.
$
646.80
Buy It Now
$400.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Click here to see description.
$
2004.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Plasma-Therm Clusterlock 7000 PM RIE Reactive Ion Etching System. The physical condition is good, but there are signs of previous use and ... morehandling. Part No: 714. Axiom Single Board Computer SBC Part No: SSC-486VGA.
$
650.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
All are in the photos. No other staff are included.Used. not tested. We sell it at as is, where is . No warranty.no return.Subject to prior sale.Contact ... moreus by email if you have any questions.
$
65.00
Buy It Now
$53.96 Shipping
Condition: Used
Location: Morgan Hill, United States
Subject to prior sale without notice.
$
2007.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: P90PCI. This Micron P90PCI Operator Interface Computer PC Plasma-Therm SLR 770/770MF Spare is used working surplus. Removed from a Plasma-Therm ... moreSLR 770/770MF Plasma Etching System. The unit was powered on and booted to BIOS page but the unit would boot not further without a mouse.
$
9.00
Buy It Now
Condition: New – Open box
Location: Morgan Hill, United States
PN TGL-39-687-002 (?). Tegal Spare Parts.
$
850.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
LAM RESEARCH 590/490 EXIT LIFTER ASSEMBLY. Logan Technologies, LP 2547602424. LOGANSEMI 2547602424.
$
1200.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
LAM RESEARCH 590/490 LOAD / UNLOAD ARM / 2-EACH. REMOVED FROM REBUILT MACHINE THAT WAS NEVER INSTALLED. LOGANSEMI 2547602424.
1 2 3 4 5 6 7 8 9 10
 In 

Former Listings  
 
YIELD ENG. YES-R3 ELECTRON FREE / RIE PLASMA ETCHER ASHER & COMDEL GENERATOR
YIELD ENG. YES-R3 ELECTRON FREE/ RIE PLASMA ETCHER ASHER& COMDEL GENERATOR Made in USA by Yield Engineering Systems. Inc. YES) of San Jose, California. YES Plasma Etcher Asher Cleaning System. Model# YES-R3. Serial# 88569. Includes Comdel Low Frequency Power Supply. Model# CLF500. Powers up and appears to be in good working order. Yield Engineering Systems. Inc. is known worldwide for supplying quality process equipment to Semiconductor and related industries, including MEMS, Medical, BioSensor, Microarray, Nanotech, Hard Disk Drive, and more. YES manufactures equipment for a variety of processes including vacuum curing. Plasma cleaning, resist removal, surface modification, anti-stiction coatings, silane chemical vapor deposition and other specialized applications. YES has proven to withstand the test of time with products that increase ... moreyields, extend performance, and improve processes. The R3 can be used in Active. Electron-Free or RIE modes. It is controlled by a Sequential Microprocessor which allows up to 90 separate,one or two gas programs to be inputted and stored in memory. Two Plasma Gas Inputs are provided as standard with an optional third plasma gas input. A Backfill Input is provided as standard. Facts. Features, and Specifications: 325 Square Inch Capacity Plasma Uniformity across Planar Sample Shelves 12" x 12" Sample Trays Operating Settings are Independent of Load Digital Programmable Process Control. Capacitive. Parallel Plate, Downstream, Charge-Free Plasma. Power: 115V/ 60htz 1.5 amps 175 watts Overall Dimensions are 22" x 24" x 29" tall. Approximate UNcrated Weight: 250 lbs. This item will need to be crated for shipping. For Crating. You can call Tim at Double J Packaging. 818-767-7756. For palletizing, crating& shipping cost. There will be no loading fee. High bidder is responsible for all freight cost, third party company charges, and is to arrange shipping with carrier of choice. You can contact Tony at FreightQuote 1-800-323-5441 Ext. 1383. PLEASE NOTE: Un
Drytek DRIE-100 Cassette Planar Plasma Wafer Etcher System
Drytek DRIE-100 Cassette Planar Plasma Wafer Etcher System Description Drytek DRIE-100 Cassette Planar Plasma Wafer Etcher System Uses chlorine- and fluorine-based chemistries for etching various Si. Polysilicon, nitride, tungsten, tungsten silicide films.+ Low energy etching for minimal substrate damage. Excellent selectivity. E.g. 20:1 poly Si:SiO2 Laser interferometer for etch rate determination and end-point detection. Leybold-Heraeus D60A Trivac Rotary Vane Vacuum Pump w/Breaker Box available for unit Various Accessories& Wafer Holders Included Complete Manuals Included Leybold-Heraeus D60A Trivac Rotary Vane Vacuum Pump Available $1995 Manufacturer Drytek Manufacturer Part Number DRIE-100 Item/Ship Weight 1370 LBS Tech Condition This item has not been fully tested and is being sold as is. This item was pulled from a high end cellular ... moreequipment manufacturer and appears to be in good condition. It is sold"as is" with no warranty. Only those manual or peripherals are included. It can be previewed at our facility in Ventura. CA during business hours 8am to 5pm M-F Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 19334 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations
Tegal 803 Inline Automatic Wafer RF Plasma Etcher As Is for Parts or Repair
Tegal 803 Inline Automatic Wafer RF Plasma Etcher As Is for Parts or Repair Description Tegal 803 Inline Automatic Wafer RF Plasma Etcher As Is for Parts or Repair RF Power Supply Intact Cover removed in photos to show component views. This tool is heavy. Nearly 400 pounds, and will require freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Manufacturer Part Number 803 Item/Ship Weight 370 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended ... morepart numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42618 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showin
Tegal Corp 803 Inline Automatic Wafer RF Plasma Etcher Untested As Is
Tegal Corp 803 Inline Automatic Wafer RF Plasma Etcher Untested As Is Description Tegal Corporation 803 Inline Inline Automatic Wafter RF Plasma Etcher Untested As Is Many Useful Parts Cover removed in photos to show component views. This tool is heavy. Nearly 400 pounds, and will require freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Corp Manufacturer Part Number 803 Item/Ship Weight 350 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. ... moreextended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42622 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still sho
Tegal 701 Plasma Inline Etcher As Is Parts or Repair
Tegal 701 Plasma Inline Etcher As Is Parts or Repair Description Tegal 701 Plasma Inline Etcher As Is Parts or Repair This item requires freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Manufacturer Part Number 701 Item/Ship Weight 360 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any ... morequestions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42624 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package is too large to qualify. As such. We may need to contact you before shipping to make an adjustment to a different me
Tegal Corp. 701 Plasma Inline Etcher As Is for Parts
Tegal Corp. 701 Plasma Inline Etcher As Is for Parts Description Tegal Corp. 701 Plasma Inline Etcher As Is for Parts This item requires freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Corp. Manufacturer Part Number 701 Item/Ship Weight 320 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you ... morehave any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42625 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package is too large to qualify. As such. We may need to contact you before shipping to make an adjustment to a differ
AMAT 8100T Throttle 6" Valve 01-83074 Assy 8100 Plasma Etcher Applied Materials
Payment Policy Brand New Sony CyberShot 8 MP Di AMAT 8100T Throttle 6" Valve 01-83074 Assy 8100 Plasma Etcher Applied Materials Attention All Buyers If there is anything wrong with the item that you have purchased. Please do not leave negative feedback and do not open any disputes with eBay or PayPal. Just contact us directly and we will fix the problem quickly. Item Description AMAT 8100T Throttle 6" Valve 01-83074 Assy 8100 Plasma Etcher Applied Materials Used Condition Applied Materials 8100 Plasma Etcher Throttle Valve 6" Assembly AMAT Model: 8100T AMAT Part No. 01-83074 Motorized. With Superior Electric SLO-SYN Stepper Motor and Baratron Air Valve& Tubes Item Condition Used Condition Actual Picture of the item is provided. What you see in the picture(s) is what you get Note: In Quantity Auctions. The serial number ... moreof the item that you receive may vary from the item shown above. Payment Policy 1) PayPal: We accept PayPal from all verified accounts. Note: For large payments or payments from some foreign countries we may require other electronic means of payment. 2) Credit Cards: We accept credit card payments through PayPal only. 3) Checkout: All US buyers should follow eBay checkout process to receive the proper invoice. International buyers should contact us to for an update on the shipping costs before they can follow eBay checkout. 4) Sales Tax: Californian buyers pay a Sales Tax of 8.625% unless a valid Resale Certificate or Tax exemption Certificate number is remitted by email or fax prior to submitting payment. 5) Checkout: An automated Non-Paying Bidder Alert will be filed if payment is not received in 4 days from the end of the auction. 6) Questions: For any questions. Please contact us directly from the auction page click on our ID(supertechshop) and then click on(Contact Seller) link. Shipping& Handling Policy 1) Handling Time: We ship packages in 1 business day after receiving payment. However larger items and quantity orders take more time to pack. 2- Shipping Method: Our st
March PX-1000 Plasma Asher/ Etcher Vertical Door & ENI ACG- 6B Power Supply Wnty
Please contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. March PX-1000 Plasma Asher/ Etcher Vertical Door Configuration Pleas e note: Photos above are taken prior to refurbishment. Lead time likely 3-6 weeks ARO S/N: 9202 220v/ 50-60 Hz/ 20A. 3-Ph. 12 AWG/ 4W Includes: ENI ACG- 6B Power Supply; Model: ACG-6B; P/N: ACG-6B-07; S/N: C545 Rev. B Input: 1/N/PE; 100-240v/ 50-60 Hz/ 1.6KVA Output: 600w/ 13.56 MHz This system is in excellent cosmetic and perfect operational condition. And carries our standard 4-month, no-nonsense warranty. Normal 0 For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for over 35 years. You can purchase with complete confidence that the equipment ... morewill be provided in excellent cosmetic and perfect operational condition. Our 50,000 square foot facility is located in the metropolitan NYC area. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements.
Drytek/Lam 384T Plasma Etcher RF Auto Match, P/N 600768
Drytek/ Lam Research 384T. 13.56MHZ RF Automatch Network. P/N 600768 One N type RF input connection and two LC outputs. It will ship Fedx ground. So please provide a phone# Shipping price quoted is for lower 48 states US Customers only. We'll ship Worldwide. But it's FOB our dock and buyer must pay all shipping cost. Call Logan Technologies. LP 254-773-4070
Tegal 803 Wafer RF Plasma Etcher (as is - condition unknown)
Condition of this machine is unknown. No testing was performed prior t o listing. Item is selling AS IS with no returns. B uyer is responsible for shipping arrangements and payments necessary. Cart is not included in this listing. Contact se ller for any questions regarding the condition of the machine or any other questions. Length: 45" Width: 22" Depth: 30"
Plasma Technology Mod DP 80 etcher-semiconductor chips deposition-Edwards E2M40
You are bidding on Plasma Technology Model DP 80 semiconductor processing film deposition(etcher? as shown in Picture Gallery- contains Edwards E2M40 High Vacuum Pump- these go for $900- $1400 on eBay DP 80 looks to be in fair to good shape but since we don't have the ability to test it. We are calling it a parts machine. Seems like it is nearly complete except for one missing Temperature read-out module in the top control and deposition unit. Besides high vac pump. Has about $1000 or more of valves, gauges, flow controllers, etc, not including power supplies, sensors, pnuematic and electronic controllers, vacuum parts-fittings, vacuum chamber and RF generator section. just SLASHED PRICE Over 90% from $22.000- Machine is estimated to weigh about 800 lbs. We can assist in getting a decent freight quote with reliable truck broker. May be ... moreusable for nanotechnology like nano wire growth. See Oxford Plasma Technology) Etching 2 Plasma Technology RIE System DP 80(F-based processes only? Application example: The nitrogen-rich silicon oxynitride thin film for gate insulator application has been studied in this work. The Plasma Enhanced Chemical Vapour Deposition(PECVD) process was carried out at 350 degree(s)C with a low power density(0.011 W/cm 2) The equipment used for thin film deposition was a commercial Plasma Technology DP-80 parallel chamber system. S1H4 and NH3 was used as reactant gases. We beieve it may have specs as follows(comes with a manual and more) PLC control system with Touch screen interface which allows the system to operate in manual and automatic mode. 300W 13.56MHz RF Generator. 240mm Heated substrate electrode with PID temperature controller. External gas box with 6 mass flow controlled gas lines and a Nitrogen. Purging facility. For depositing a-si(H) SiOx, SiNx. Applications include dielectric isolation. Hard masks, diffusion masks and. Anti-reflection coatings. Sample sizes from a few mm2 up to 4” wafers. Gases available SiH4. NH3, N20, CF4, O2 and N2. A how- to book at p 3
SPI SUPPLIES Plasma Prep Plasma Etcher Plasma Asher Plasma Cleaner
THIS IS A RARE ITEM ON EBAY. CERTAINLY WON'T LAST LONG! SPI Plasma Prep a compact. bench-top" sized plasma etcher, which can also serve as a plasma asher or even a plasma cleaner, uses dry plasma chemistry to reveal hidden detail for SEM and TEM analysis. Used but in great working condition. The shell of the power switch button is missing and that is the only issue we know. GUARANTEED WORKING OR YOUR MONEY BACK!
Tegal Plasmaline Model 421 Barrel Etch Plasma System Wafer Etcher w/ Gauges
This listing is for a Tegal Plasmaline Model 421 Barrel Etch Plasma System Wafer Etcher w/ Gauges Local Pickup always Welcome! Dallas. TX_ For Sale is a Tegal Plasmaline Model 421 Barrel Etch Plasma System Wafer Etcher including Power Supply. SR-250D Gauge, Fischer& Porter Gauge Model 10A1337, and Operating Manual. This unit has been tested working properly. This unit is in good condition and has the regular use marks for an item like this. We not sure how many hours have been put on this Plasmaline but it was taken from a working enviroment. Message me if you would like to get a shipping quote for Freight. Please let me know if you have any questions or concerns. Does not include original packaging. We have described this item to the best of our knowledge and ability. Please carefully examine each of the photos and ask me if need any ... moreother information before you purchase. Each of our items is carefully packaged at our warehouse to ensure a safe shipment. After winning this auction you will be sent a tracking number for your item. We mainly ship with UPS or USPS for reliability and speed. If you want additional information regarding the content of this item please refer to the manufacturer for more details. If you have any questions please feel free to Contact Us. TechNextDoor Store Policies: Note: International Bidders requesting a shipping quote must leave a address to calculate shipping. All Items are shipped with Insurance. Items over $250 will require a signature upon delivery. I do not offer warranty or technical support on products listed. Local Pickup is welcomed. Please contact me for appointment. International bidders are responsible for any international fees or dues. In many cases these items are consigned or purchased second hand. I do not always know the full history or how to operate and repair these items. I try to the best of my knowledge to list the item accurately. Please note: We process and ship orders Mon– Fri and are unable to offer w
Plasma Sciences Inc model RIE-600W Etcher Plasma Etching Lab Equipment
Please see the pictures of the actual Ebay Item. IT! Everything& Only what you see is what you will get. Be sure to add me to your! Check out my! 1369 Daviess Street Lockport.IL. 60441 Call Patrick Lind at 815 838 0134. RELIABLE COMPUTER SERVICES. Inc. 28 Years of Buying& Selling computer hardware by DEC or"Digital Equipment Corporation" Since 1985. RCS Inc. has been buying& selling computer hardware by DEC or Digital Equipment Corporation for 28 Years. Buying whole machines/sights& selling the peripherals& parts to Maintenance companies& even back to DEC. When it comes to purchasing or selling computer hardware by DEC. Call the company that delivers what they promise at the fairest market price. We will also be selling many non DEC related items here on Ebay. We will be attending many auctions.Liquidations,surplus& ... morebankruptcies sales here in Chicago& the Midwest area. We will be selling a wide variety of items. From printers& plotters to floor scrubbers& Oscilloscope. Tools, Antique's,Computer hardware, Copiers, motors, generators, Radios, Test& Scientific Equipment. Payment is due within 10 days of listing closing. Unless we are on vacation. Most orders ship within 1 to 3 days of receipt of a guaranteed payment. All International shipping charges must be Prepaid to RCS Inc. As the Exporter. We are liable for these charges if the buyer does not pay. Sorry,We cannot& will not ship freight collect to a buyers account. We are located in LOCKPORT IL. About 35 miles SW of Chicago IL. Most items can be inspected in our warehouse before bidding. Please call 1st& make an appointment. We are not there or available everyday. Buyers can also email or call us with any test procedures they would like us to try for them on the equipment. Send us your day time phone# we will call you while we try your procedures.Thanks Send payment to: Reliable Computer Services. Inc. 1369 Daviess Street Lockport. IL. 60441 815 838 0134 Search words:
Plasma Etcher Matrix 303 System Semiconductor Wafer
Matrix 303 Plasma System. Missing Rf power supply and other parts. Excess to ongoing operations. Terms of Use and Liability: Seller assumes no responsibility for the use or misuse of the merchandise sold. Sales Tax: Texas residents will be charged sales tax. Which will be added to your order. If you are tax exempt, please provide a copy of your tax certificate before checkout. Do not send payment until we send you a revised invoice. Shipping: Buyer is responsible for all freight charges. Local Pickup: Possible. Depending on item and availability. International Shipping: No
Drytek Triode 384T 384 T Plasma Metal Etch Etcher System
This auction is for a Drytek Triode 384T Plasma Etch System. As you can see from the pictures. This unit is in extremely clean condition with minimal wear and mostly complete. I have not powered system up(lack the power) and it does appear to be missing at least a couple internal parts, sold as-is. System also comes with an assortment of original manuals(see last picture) Repair or use for its immense amount of rare spare parts! Feel free to contact me with any questions. Thanks for looking and happy bidding! Powered by The free listing tool. List your items fast and easy and manage your active items.
Branson/IPC Plasma Etcher/Stripper With Model 3000C Controller and ENI Generator
This is a used system and is being sold"As Is" It has a 12" diameter"New" quartz chamber and has a ENI 600 Watt 13.56 MHz RF Generator.
Technics Plasma 100-E O2 Etcher Asher Cleaner Photo Resist Stripping 150mm OD
Technics Plasma 100-E O2 Etcher Asher Cleaner O2 ashing of organic residues and photoresists on III/V substrates 2.45 GHz 250W µW source O2 gas. CF4 planned Base pressure ~ 5 mTorr The timer on this unit only shows 348 hours! Condition is very clean. It appears to be in great condition. But we do not have the proper power requirements to power this on. Includes only what is pictured. Sold as is. Copied from various websites) Brief Description: The Technics 100-E is a Plasma Etcher/Asher for depotting of electronic devices. Plasma etching and photo resist stripping. Plasma is generated in a seperate plasma chamber from the process chamber. Samples can be treated directly in plasma chamber or in process chamber underneath. Brief Specifications: Plasma Chamber Size: Quartz with 150 mm O.D. Maximum Substrate Size: 125 mm diameter or 100 ... moremm square. Terms of Sale Buyer pays LTL shipping from 55107(continental US) Crating is available for an extra $100 if interested. Paypal usually ships within 24 hours. Other HI. PR, AK or international bidders PLEASE contact us before bidding. Thanks for your interest. Please email with any questions.
Tegal Plasma 901e Etcher With Manual Plasma Etch System Wafer Semiconductor
Plasma 901e Tegal Etcher With Manual. SOLD AS SEEN IN PICTURES! Not tested. Cassette to Cassette Poly/Nitride Plasma Etcher*Easy to use menu driven control*Input gases controlled by MFC. Up to 4 MFC with system*Non-friction spatula wafer transport- Power requirement: 208 VAC 25A 1 PH- S/N: CU50134- Item# CU9000-50134-Volt: 200/208 VAC- Curr. 30 Amps- Freq. 50/60 Hz- Wires: 2+ ground- Wfg: 11/1999- m eter reads: 5081-RCTN Chamber item No. CC1106-01302 NOTE: We do not know the wafer size of the unit. If you know a way to tell. Please let us know. Specifics Below are off the internet: This is only to help. We do not know if this is exactly what we have. Please ask questions. Wafer Size Capacity: 3.4,5,6 inch Cassette To Cassette In-line Single Wafer Plasma Etcher.Capability to etch vias and contacts with anisotropic or sloped profiles.For etching ... moresilicon dioxide. Silicon nitrides, and polyimides.Can handle wafers from up to 6 in. Microprocessor control.208 V, 50/60 Hz. Tegal 901e series Plasma/RIE etch System are used by the Semiconductor Industry for integrated circuit fabrication. The system are used in one part of the sequence of manufacturing steps that transfer a pattern formed from a layer of photosensitive material, the photoresist, to a layer that makes up a permanent part of the final device. The process of defining a pattern with photoresist known as photolithography, while the etch process transfers the photoresist pattern to the permanent layer.Tegal 900 Series systems deliver highly reliable, repeatable results in etching a variety of films used to manufacture semiconductor, telecommunications and optoelectronics devices, flat panel displays and thin film magnetic heads.Tegal 901e,are designed around a production-proven wafer transport design that can accommodate 75 mm to 150 mm round silicon, GaAs, InP, and dielectric material substrates. The transport can also be configured to accommodate rectangular substrates up to 125 mm on a side. Typical Applications for Tegal 901e:1. Nitr
TEPLA AUTOLOAD 300 Microwave Plasma Asher/Etcher, WORKS
Tepla Auto-Load Model 300 Microwave Plasma Etcher/Stripper/Asher/Cleaner. OPERATIONAL We powered it up and lite a plasma past 1000 Watts. Available for inspection or demonstration. S/N 1171. 7/2002 vintage 2.45 Ghz. 0 to 1000 Watts Holds two 4" to 6" wafer carriers. Includes to 6" 150MM) Quarts Boats Does not include pump. No shipping. FOB our dock in Temple, Texas. We'll skid for free. Crating is extra. Logan Technologies. LP 254-773-4070
Branson IPC PVA TePla America, Box Plasma Etcher / Asher 11.5"W x 26"D x 11.5"H
RF Plasma Box Etcher 1000 Watts@ 13.56 Mhz Branson IPC/ PVA TePla America. See: http:www.pvateplaamerica.com/legacy/7102.php 1000 Watts@ 13.56 Mhz Custom Process Gas Inlets Etch tunnel 11.5"W x 26"D x 11.5"H Overall: 44" 111.8 cm) Tall. 25" 63.5 cm) Wide. 44" 111.8 cm) Deep. RF Generator: ENI OEM12AB-3. Included as Separate Free-Standing Unit. RF Match Box: Installed in Rear of Main Unit. Gas Inlets: Purge Gas. Gas 1, Gas 2. Gas Inlet Baratron Pressure Gages(shown in image) Required: Vacuum Pump. Gas Sources. Weight: 800 lb(363 kg) Manufacturer's Features and Specifications Manufacturer, Branson/IPC, Model, 7102, Etcher Type, Box, Rated Power Output, 1000 Watts, Number of Gas Inputs, Two Gas, RF Generator Model, ENI Power Systems-Model OEM-12AB-3, Panel Meters, Digital Accessories, MKS pressure transducers-Type ... more122A Other Information, RF Generator: 250 V. 20 A, 1 phase Exterior dimensions: 17"W x 16.5"D x 8.25"H Front panel digital display has CRT display Vacuum connection: KF 40 Etch tunnel dimensions: 11.5"W x 26"D x 11.5"H 3" diameter view port in door Exterior Dimensions, Width, 25.000 in(63.5 cm) Depth, 44.000 in(111.8 cm) Height, 44.000 in(111.8 cm) Weight, 800 lb(363 kg) Free Loading on your Truck Packing and crating at cost We will help you find shipping company if you prefer. Our surcharge to manage all Packing/shipping/insurance is $150 Please email for questions: ed.m@lasermotion.com 510-427-0115
Drytek/Lam Plasma Etcher, AE Generator Interface, P/N 28001100
Drytek/ Lam 384T AE Generator Interface P/N 2801100 Rev.1. Assy# 2801101 Used on Drytek/ Lam Etcher It will ship Fedx ground. So please provide a phone# Shipping price quoted is for lower 48 states US customers only. We'll ship Worldwide. But customer pays shipping. Available for inspection. Logan Technologies. LP 254-773-4070
TOK TSE-306W Plasma Etcher System
Description: TOK TSE-306WPlasma Etcher Is complete Plasma etcher System for 4 inch to 6 inch wafer Plasma etching process. It include RF power supply. AC box, Connecter cable, Quartz chamber. As is.Where is. Good condition. We sell it at AS IS condition with no return.Inspection is welcome against appointment. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: ... moreTegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can b
C84288 Applied Materials 8100 B/G AME Batch/Plasma Etcher
Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# C84288 This Applied Materials 8100 B/G AME Batch/Plasma Etcher appears to be in good/fair cosmetic condition with some signs of previous use as shown. Please refer to the pictures located above for some more details. This sale consists of(1) 8100 B. 1) 8100 G, 208V Single Phase Power Supply, 208V 3 Phase Power Supply, and everything else in the photos. I am not an expert on this system and I do not know how to properly set it up. I do not have the proper equipment needed to power on or to test these units; therefore they will be sold as is. Unpacked dimensions: 3.000 pounds, 16x5x7 feet Please ask questions or indicate concerns prior to bidding. By placing ... morea bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. Shipping. Buyer pays a fixed handling fee of $50.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) Buyer has the choice of arranging for pickup. Or requesting a shipping quote after the auction ends. Winning bidders of freight items should complete the checkout process and will be billed for shipping after we have received quotations from our carriers. The unpacked weight of this item is 3.000.00 Pounds Freight Shipments: Freight rate quotes are valid for 10 calendar days from the date quoted. If a cleared payment has not been received in this timeframe. The rate will expire. We reserve the right to requote the freight charges in the event of a payment delay. Auction Terms. Upon end of auction. The winning bidder should proceed to"Check Out" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal.com or BidPay.com) All auctioned products are sold as advertised. As is and without warranty. PAYMENT TERMS ARE PREPAID ONLY. Pa
Tegal 903E Plasma Dry Etcher
General Description The Tegal® 903e is made by Tegal Corporation and represents the Industry Standard in single-wafer Dry Etch of Silicon Oxide and the mainstay of the highly successful plasma etch system. Systems are used by the semiconductor industry for integrated circuit fabrication. The systems are used in one part of the sequence of manufacturing steps that transfer a pattern formed from a layer of photosensitive material. The photoresist, to a layer that makes up a permanent part of the finished device. Wafers are transported to a Reaction Chamber. A gas mixture is introduced into the Reaction Chamber. And the gas mixture is caused to become reactive by the application of radio frequency(RF) electromagnetic radiation. The reactive mixture, or plasma, etches away material that is not covered by the masking photoresist. The etch ... moreprocess is terminated at an appropriate time, the wafer is unloaded from the Reaction Chamber, and a new wafer is introduced. The cycle repeats. We have 903e tools that are complete and operational As-Is and an almost complete unit that can easily be made operational or purchased for parts. This extra equipment was acquired as line items within a larger acquisition deal a few years ago. We are now looking to sell. Upgrade or trade. Pricing is FOB our Santa Clara. California warehouse. Buyers may schedule a visit to view the tools. We will handle, ship or deliver on a case by case basis. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*4a24%60%3C%3E-146c768d888-0x102-
Drtyek Coil / Cap Control Unit with Auto / Manual for 100S Plasma Wafer Etcher
Drtyek Control Unit with Auto/ Manual for 100S Plasma Wafer Etcher(Coil/ Cap) Drtyek Coil/ Cap Control Unit with Auto/ Manual for 100S Plasma Wafer Etcher Power input rating: 120 VAC This unit was pulled from a Drytek 100S Plasma Wafer Etcher If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48388
Lam Research 490 Poly/Nitride Plasma Etcher, 6", S/N 1146
Lam Research 490 Poly/Nitride Plasma Etcher. S/n 1146 Includes ENI OEM-12 RF Generator Unit 1660-50 SCCM- O2 Unit 1660-200 SCCM SF6 Aera D980C 100 SCCM CF4 Unit 1200-200 SCCM He Not Tested. but it working when the end user deinstalled it. Sold"as is" we have no way to fully test it. No shipping"FOB our dock in Temple. Texas. Available for inspection. We'll skid at no charge. Crating is extra. We'll ship overseas. But customer must pay all shipping and crating charges. Logan Technologies. LP 254-773-4070
Lam Research 490 Poly/Nitride Plasma Etcher, 150 MM, S/N 1145
Lam 490 Poly/Nitride Plasma Etcher. S/n 1145 Includes ENI OEM-12 RF Generator Unit 1200 MFC's 50 SCCM- O2 200 SCCM CF4 200 SCCM SF6 200 SCCM He Powers up but is not fully Tested. Working when the end user deinstalled it. Sold"as is" We have no way to fully test it. No shipping"FOB our dock in Temple. Texas. Available for inspection. We'll skid at no charge. Crating is extra. Overseas buyers must pay all crating and shipping charges and arrange for pickup Logan Technologies. LP 254-773-4070
Tegal / March PLASMOD 100 W Tabletop Plasma Reactor/Asher/Etcher System
Click Here. Double your traffic. Get Vendio Gallery- Now FREE! Tegal/ March PLASMOD 100 W Tabletop Plasma Reactor/Asher/Etcher Comes with a manual(may be for the same model but a different revision) and what you see in the pictures. If you don't see it. You probably wont get it. Specifications are from Tegal and may vary slightly due to upgrades. Options or revisions this unit may or may not have. The unit has been tested and is guaranteed to work. The Unit's Serial Number Tag Reads: Model Number: PMOD Serial Number: 1100177 Date: 11-81 Key Features: The Actual Chamber Size is about 6" deep and 4.5" internal diameter. The Actual Holder Size is about 5.75" deep and 4" internal diameter. 120 V. 50/60 Hz 0-100W RF Power@ 13.56 MHz, General Description: Tegal's PLASMOD is a tabletop plasma chemistry reactor designed ... moreto provide the scientific and educational community with plasma technology at a moderate cost. Tegal is able to provide such capability by engineering a simple to operate instrument which can perform repeatable plasma chemical reactions with a minimum of automation. All controls are manual; however. Where necessary, automatic monitors and controls take over to protect the equipment and the samples in the reactor. The PLASMOD comes quipped with an internally housed RF generator. RF power is transferred from a power amplifier directly coupled to the reaction chamber through a matched impedance network. A variable capacitor provides fine tuning control for matching the output impedance of the RF generator with the capacitive load of the reaction chamber. An audible alarm sounds whenever the impedance match goes out of specification. This also aids in tuning since the alarm-off"window" corresponds to the"in tune" state of the machine. Instrumentation for the PLASMOD consists of a power tuning indicator. The audible tuning alarm, and provisions for several optional attachments. These include facilities for an externally mounted pressure transducer, and a stri
Tegal Model 903e Plasma Etcher Operation / Maintenance Manual, 88-062-001
Tegal 903e Plasma Etcher Operation/ Maintenance Manual P/N 88-062-001 Used Manual will ship Fedx ground. So please included your phone# Quoted shipping price is for US customers only. We'll ship worldwide. But shipping cost will be determined at the time of purchase Logan Technologies 254-760-2424
March Instruments PLASMOD Plasma Asher/Etcher with GSM-200, WORKS
MARCH PLASMOD PLASMA ETCHER/ ASHER with GSM-200 Vacuum/ Gas controller. WORKS S/N 1214 4" Chamber. Manual Tuning Tube type. Up to 100 watts@13.56 Mhz Pump not included. Used. Available for inspection. Right of return, but intem must be returned in the condition in which left our location. Ship Fedx ground. So please included your phone# Quoted shipping price is for US customers only. Logan Technologies 254-760-2424
PLASMA-THERM 700 RIE SYSTEM Reactive Ion Etcher Refurbished
Plasma-Therm 700 REACTIVE ION ETCHER PLASMA-THERM 700 RIE SYSTEM WITH THESE PLASMA-THERM CONTROLS PRM-1 PRESSURE CONTROL HFS 500 RF GENERATOR SUPPLY MFC-5 MASS FLOW CONTROL Available Options: LH D60 WS/WA 251 BLOWER 179 CFM BLOWER PKG VACUUM HOSE AND FITTINGS Please Note: We are Offering this Plasma-therm 700 RIE System Fully Refurbished All shipping costs to return the product are the responsibility of the buyer. International Buyers must arrange shipping we'll provide weights and dimensions Packaging for international and crated shipments will be added to invoice. Please Visit our E-Bay Store and check our other auctions
March Jupiter II RIE plasma system, March plasmod, Plasma Etcher, Plasma Asher
Rebuilt March Jupiter II RIE AE 600 Watt RF Generator. 13.56 MHz, solid state, Two Mass Flow Controllers. Automatic or Manual impedance matching, Timer, End Point Detector, Baratron Pressure Display. End Point Control. Aluminum Chamber, 4" 6" or 8” wafer capability. 75" material height limitation. Designed for use on table top or counter. System is: S/N 129. March Controller is: PCM2 S/N 1050, 600 watt RF generator is: AE RFX600 Includes all cords and cables. Fully rebuilt and tested. Sold with a 30 day warranty. Glow Research has taken over the support of several older Nordson March Plasma systems. This allows us to provide warranty support and rebuilding of these systems. Please email customerservice@glowresearch.org for more information.
Anatech Ltd 600 Series Plasma Etcher
Model: MR600 Quartz Serial: 4601006 Gas/Water: 20 Psi Electrical: 120V 15A 60 Hz This unit is missing the controller and RF Generator. There are two chips out of the glass on the door however the glass tube inside the unit is pristine. The pictures included in this listing are of the actual item. No accessories or documentation included unless otherwise noted and photographed. If there are any issues with your purchase. Please contact us first and we will look for the best solution. No combined shipping offered with this item. Payment is expected by the third business day after winning the item. We will open an unpaid item case if we haven’t heard from you by then. We only ship to the 48 contiguous states. Please check the shipping charges in this listing before bidding. Local pick-up during business hours is available in our Freehold New ... moreJersey location.
Nordson March PM-600 Barrel Plasma Etcher
Nordson March PM-600 Barrel Plasma Etcher This is another fine Gizmo from SurplusGizmos located in Hillsboro Oregon. Nordson March PM-600 Barrel Plasma Etcher This is another fine Gizmo from SurplusGizmos located in Hillsboro Oregon. You may be interested in. Nordson March PM-600 Barrel Plasma Etcher Item Description and Features: Please see pictures and the link below for more details. This was pulled out of a working clean room. Quartz interior. Includes a Thermovac TM22 Please see our shipping policies located further down before bidding on this item. If you are an international buyer and we make an agreement to ship to a domestic address. We will only ship to that domestic address once the transaction has gone through. It will be your responsibility to handle the international shipment. Please pay as soon as possible. If you do not pay ... morewithin three days(of receiving the invoice) Please contact us or respond to our messages. If you do not pay and do not respond to our messages, we will be forced to open an unpaid item case after 7 days. It is polite Ebay behavior to pay within a few days or less if immediate payment is not possible. Please look over the photos carefully and do not assume anything about the item(s) included in this listing. This item is sold as you see in the pictures. Unless otherwise stated. If it is not pictured, it is not included. We are not experts and do not claim to be with any of the items we sell. We do the best research we can in order to properly represent the item(s) as clearly and honestly as possible. We strongly encourage buyers to do their own research beforehand. If you have any questions, please ask before you make your purchase and we will do our best to answer your questions to the best of our abilities. Item Condition: Used. Pulled from a working clean room. Door latch might be faulty or we aren't using it correctly. Full operating condition unknown due to inability to test. See pictures for more details. Item is sold"as is" No guarantee Testing Done: No test
IPC Branson Dionex Plasma Etcher Reactor Center with RF Generator and Sequencer
IPC Branson Dionex Etcher Reactor Center with RF Generator and Sequencer Unit Asher Plasma Branson International Plasma Corporation IPC a SmithKline Company Reactor Center PM-21020 Dionex Gas Plasma Systems PM112-1500 Watt Generator Dionex Gas Plasma Systems PM906U-4 Integrated Programmer Module POWER RATING.1500 watts continuous input REACTOR.2 ea 10" dia. x 20" long OPERATING PRESSURE RANGE.0.1- 10 torr VACUUM SEALS.Silicone O rings and bell jar gasket REACTOR WINDOW.Hinged and spring loaded. With latch for open position PRESSURE TRANSDUCER.Thermocouple type IMPEDANCE CONTROL.Matches from 10-1500 watts to present input impedance of 50 ohms at RF power input connector. Automatic impedance matching once preset. Controls FLOW. 3- ON/OFF FLOWMETERS. 3- Range 0-900cc/min. RF POWER CONTROL.3- ON/OFF WATTMETER.0-1000W Forward. 0-300W ... moreRef. VACUUM METER.0-20 Torr range 906U Operating Controls PURGE GAS. Switch VACUUM. Switch MODE SWITCH. Automatic or Manual control. Switch selective CYCLE. Push button to advance system logic to next state START. Push button to activate automatic sequence RESET. Push button to purge chamber. Reset auto sequence at end of cycle TIMER. 0-99.9 min digital timer This auction comes with two quartz chambers. A number of spare parts, o-rings, quartz glass parts. Items are believed to be accurately described but are sold as is and we are not experts on this equipment. This auction includes a 1500 watt RF generator.
Tegal Model 915/965 Plasma Etcher Operation / Maintenance Cleanroom Manuals
Tegal 915/965 Plasma Etcher Operation/ Maintenance Clean room Manuals Set of 2. 88-072-001 Used Manual will ship Fedx ground. So please included your phone# Quoted shipping price is for US customers only. We'll ship worldwide. But shipping cost will be determined at the time of purchase Logan Technologies 254-760-2424
SPI plasma prep II plasma etcher & NOS Fisher P40 vacuum pump,nice lot, nice buy
I have here for your consideration a working SPI Supplies Plasma-Prep II. Model 11005, plasma etcher. Included with the sale is a brand new(NOS) Thermo Fisher model P40 Vacuum pump as well as the vacuum instrumentation(sensor and display meter) When I say working, I mean that I plugged in the plasma prep, powered it up, and the vacuum tubes lit up. Beyond that, I do not have the knowledge to test the system. I bought out a storage unit that contained a bunch of lab equipment, and this is the first item that I am offering from that lot. All of the items are clean and in excellent condition, but I cannot offer a warranty. The lot is priced accordingly. This is a nice lot for a re-seller or someone that can use this equipment. My research shows that the vacuum pump alone retails for $3300. This is a lot sale of the three items shown, shipping ... moreis included in the selling price. 40g.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B%3E40g-14af661ed34-0x10e-
Gasonics Plasma Etcher / Asher Display Assembly, P/N 95-0296 Rev C
Gasonics Display Assembly"L-3510 or A-3010" Model 95-0296 Untested. Board will ship Fedx ground. So please included your phone# Quoted shipping price is for US customers only. We'll ship worldwide. But shipping cost will be determined at the time of purchase. Logan Technologies. LP 254-773-4070
C113695 SPI Structure Probe Plasma Prep II PPII Plasma Etcher w/ TC Vacuum Gauge
Click to Enlarge) Product ID# C113695 This SPI Supplies Division of Structure Probe. Inc. model PPII Plasma Prep II Plasma Etcher looks to be in good cosmetic condition, showing some signs of wear(please see the photos above for detail) It comes with a Televac II Vacuum Gauge with 2A Thermocouple(2-2100-10, 0-1,000 Microns) as shown. It powers up, as shown in the photos above. However, I lack the knowledge and equipment to formally test it, and it is being sold as-is. Approximate overall unpacked dimensions: 20"L x 12"W x 17"H. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms.All auctions are sold as advertised, as is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below Shipping. Buyer pays a fixed shipping ... moreand handling fee of 65 dollars(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) All international shipments(including Hawaii and Alaska residents) will be quoted based on winning bidders shipping address. The unpacked weight of this item is 37 pounds Orders will ship 1-2 business days(excludes weekends and holidays) after receipt of confirmed/verified Paypal payments.We do not process orders nor ship on weekends or holidays. Auction Terms. Upon end of auction. The winning bidder should proceed to"CheckOut" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as advertised. As is and without warranty. Questions should be asked prior to bidding as all sales are final PAYMENT TERMS ARE PREPAID ONLY. Payments. ALL AUCTIONS ARE PREPAID ONLY. We accept Paypal payments that are US and Canada Verified with ship-to US and Canada confirmed addresses only. We accept credit card payments through confirmed/verified Paypal accounts only. California and Texas Sales Tax For all California ship-to locations within Santa Clara County. Local sales tax applies. For all Californ
Drytek/Lam 384T Plasma Etcher Modgraph 9" Super VGA Color Monitor, 3000377
Drytek/ Modgraph 9" Color Monitor for 384T Plasma Etcher. NEW Drytek# 3000377. Modgraph Mg-3930. It will ship Fedx ground. So please provide a phone# Shipping price quoted is for lower 48 states US Customers only. Available for inspection. Logan Technologies. LP 254-773-4070
TEGAL PLASMA 903e Plasma Etcher with ENI ACG-10B RF Generator
PLEASE REFER TO PICTURES. Unit does not come with accessories unless noted in description. TEGAL PLASMA 903e Plasma Etcher with ENI ACG-10B RF Generator Monitor& Keyboard Condition: USED. PULLED FROM A WORKING ENVIRONMENT Estimated Packed Shipping Weight: Unit will ship by freight or is available for local pickup. Notice Regarding Freight: Please wait for an invoice with shipping charges. Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 14-Day Satisfaction Guarantee(See Details Below) Shipping Notice: Additional shipping charges may apply; pending location. Size and weight of the item(s) Lister: DL Can't find the answer you're looking for? contact us! Business ... moreHours: 8:00 am to 4:00 pm(PST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: Will-Call Hours: 8:00 am to 3:00 pm(PST) Monday to Friday 8:00AM- 12:00PM(PST) 12:30PM- 3:30PM(PST) Monday to Friday. Email Address: Please Use The eBay Messaging Service, Phone: 408-762-7286, Fax: 408-283-9004, Physical/Mailing Address: Prism Electronics Corp. 18305 Sutter Blvd. Morgan Hill. CA 95037 USA Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards Through PayPal. And Bank Wire Transfers in USD(There is a $25 wire transfer fee) Will-Call items must be paid in full by PayPal or cash with exact change on pickup. We cannot process the order until we receive tax from shipping address within California or a copy of your California Resale Certificate A 8.75% Sales Tax applies to all purchases in CALIFORNIA. All items will be securely packaged or palletized and shipped via the best and most economical way possible. We typically ship within 1 business day however. Und
Technics Plasma GmbH model 100-E Plasma System Etcher Cleaner
PRICED TO SELL@ $3.450.00& FREE CONTINENTAL USA Shipping Included! Please see the pictures of the actual Ebay Item. IT! Everything& Only what you see is what you will get. Be sure to add me to your! Check out my! 1369 Daviess Street Lockport.IL. 60441 Call Patrick Lind at 815-838-0134. RELIABLE COMPUTER SERVICES. Inc. 30 Years of Buying& Selling computer hardware by DEC or"Digital Equipment Corporation" Since 1985. RCS Inc. has been buying& selling computer hardware by DEC or Digital Equipment Corporation for 30 Years. Buying whole machines/sights& selling the peripherals& parts to Maintenance companies& even back to DEC. When it comes to purchasing or selling computer hardware by DEC. Call the company that delivers what they promise at the fairest market price. We will also be selling many non DEC related ... moreitems here on Ebay. We will be attending many auctions.Liquidations,surplus& bankruptcies sales here in Chicago& the Midwest area. We will be selling a wide variety of items. From printers& plotters to floor scrubbers& Oscilloscope. Tools, Antique's,Computer hardware, Copiers, motors, generators, Radios, Test& Scientific Equipment. Payment is due within 10 days of auction closing. Unless we are on vacation. Most orders ship within 1 to 3 days of receipt of a guaranteed payment. We are located in LOCKPORT IL. About 35 miles SW of Chicago IL. Most items can be inspected in our warehouse before bidding. Please call 1st& make an appointment. We are not there or available everyday. Buyers can also email or call us with any test procedures they would like us to try for them on the equipment. Send us your day time phone# we will call you while we try your procedures.Thanks Send payment to: Reliable Computer Services. Inc. 1369 Daviess Street Lockport. IL. 60441 Phone# 815-838-0134 Search words: Technics Plasma GmbH model 100-E Plasma System Etcher Cleaner.