Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
999.00
Buy It Now
$84.48 Shipping
Condition: Used
Location: Santa Barbara, United States
HSQUARE CORP. The system was de-installed in working operational condition. IF THERE ARE ANY PROBLEMS WITH THE ITEM DO NOT TRY TO REPAIR AND INVESTIGATE. ... moreWE HAVE SEVERAL WAREHOUSES.
$
1750.00
Buy It Now
Free Shipping
Condition: Used
Location: Seattle, United States
Typically the MASCOT Loadlock would be mounted to a gate valve attached to a main system chamber. After the loadlock is evacuated to the desired vacuum ... morelevel, and the gate valve opened, the substrate is transferred into the process chamber by gliding the magnet carriage along the outer tube.
$
1700.00
Buy It Now
$222.80 Shipping
Condition: Used
Location: Cerritos, United States
Fortrend F-6000 Automated Mass Wafer Transfer System w/Manual and accessories. Serial# 195661 Unit was working when diaconnected, and has been in storage ... moreever since. Unit is not tested and is being sold as is (see pictures for condition). Operating manual, cords, and alignment block included. No returns accepted.
$
2011.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Step Motor SST55D3C020. Koganei V100E1 Vacuum Valve. This Nikon 200mm Wafer Transfer System is used, working surplus. The physical condition is good, ... morebut there are signs of previous use and handling.
$
34999.00
Buy It Now
Condition: Used
Location: Singapore
Type: Wafer Transfer Robot System. Brooks Automation VISION 173222-01 Wafer Load Port. Brooks Automation 002-7560-03 Wafer Cassette Load Port. Make: Brooks ... moreAutomation. Brooks Automation 100903 Pre-aligner.
$
3503.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Hitachi Wafer Handling Robot M-712E Shallow Trench Etcher Used Working. This Hitachi Wafer Handling Robot is used working surplus. The physical condition ... moreis good, but there are signs of previous use and handling.
$
300.00
Buy It Now
Condition: For parts or not working
Location: Oxnard, United States
$
639.99
Buy It Now
Condition: Used
Location: Leander, United States
(1) Samick WTR3I15 System. Model: WTR3I15. Manufacturer:Samick THK. Manufacturer: Samick THK. Notes:We are not able to fully test this module. The wafer ... moreblade extends and the top rotates, both easily with light hand pressure.
$
500.00
Buy It Now
Condition: Used
Location: Hollister, United States
This sale is for a Fortrend 9848 Electra Automatic Wafer Transfer System, which is used non-working surplus. This unit is missing a fuses. Otherwise, ... morethe physical condition of the unit is good and clean.
$
599.99
Buy It Now
$550.00 Shipping
Condition: Used
Location: Rancho Cordova, United States
This Wafer Transfer System has been pulled from a functional environment. The unit has wear from previous use including scuffs and scratches. Includes ... moreonly the Wafer Transfer System, No accessories. Photos are of the actual unit for sale. Inventory is on hand and ready to ship. Please feel free to message us any questions you may have. Thank you for checking out our listing. .
$
599.99
Buy It Now
$550.00 Shipping
Condition: Used
Location: Rancho Cordova, United States
This Wafer Transfer System has been tested working onsite and is guaranteed. The unit has wear from previous use including scuffs and scratches. Includes ... moreonly the Wafer Transfer System, No accessories. Photos are of the actual unit for sale. Inventory is on hand and ready to ship. Please feel free to message us any questions you may have. Thank you for checking out our listing. .
$
1904.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This GaSonics A-2000LL wafer loader transfer arm is used working surplus. The end effector is missing on this unit. There are minor scratches and blemishes ... moreon this unit from previous use. Otherwise the physical condition is good and clean. This unit was pulled off a GaSonics A-2000LL. System: GaSonics A-2000LL Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 20” x 20” x 16” 40 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ6 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All ite
$
998.78
Buy It Now
$105.28 Shipping
Condition: For parts or not working
Location: Fremont, United States
Local Pick-up is Available.
$
1750.00
Buy It Now
Condition: Used
Location: San Jose, United States
Wafer transfer system.
$
472.78
Buy It Now
$13.82 Shipping
Condition: Used
Location: Buda, United States
SN: 83139,TW79359. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole ... moreJackson at getsparesllc. (See Hours of Operation, above).
$
1125.68
Buy It Now
$6.49 Shipping
Condition: Used
Location: Buda, United States
WE BUY SURPLUS SEMICONDUCTOR PARTS. Your Source for Spares & Repairs. We do repairs. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. (See ... moreHours of Operation, above).
$
4500.00
Buy It Now
Condition: Used
Location: Hollister, United States
You are bidding on a DAS Dynamic Automated Systems wafer transfer robot. Serial# 2601001W. PTB packs parcel shipments utilizing foam in place packaging ... morewith care. We ship heavy items(we draw the line at 100 lbs in most cases) boxed and strapped to a pallet. We will bill shipments to your shipping account or add into the invoice total. Our preferred carrier is FedEx. 626-334-0500 1331 Mountain View Circle Azusa. CA 91702
$
601.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Electroglas 248057-001 Wafer Transfer Assembly is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... moreMotor Part No: 247110-001. Part No: 248057-001.
$
7550.68
Buy It Now
$106.59 Shipping
Condition: Used
Location: Boise, United States
Your Source for Spares & Repairs. SN: 17429,B72529. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two ... moreDirect Line. Nicole Jackson at getsparesllc.
$
320.00
Buy It Now
$42.71 Shipping
Condition: Used
Location: San Jose, United States
Used unit in excellent condition. Box size 18" x 16" x 8".
$
9999.99
Buy It Now
Condition: For parts or not working
Location: United States
Recif VMT8 Vertical Transfer Wafer Sorter. Model Number: VMT8. Specifications are from Recif and may vary slightly due to upgrades, options, or revisions ... morethis unit may or may not have. Vacuum free vertical transfer.
$
5008.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Nikon VB-001 is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from a Nikon NSR-S204B ... moreStep-and-Repeat Scanning System. Removed from a Nikon NSR-S204B Step-and-Repeat Scanning System.
$
998.78
Buy It Now
$94.57 Shipping
Condition: For parts or not working
Location: Fremont, United States
Local Pick-up is Available.
$
1006.10
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Lam Research wafer shuttle assembly is used, working surplus. It was pulled from a Rainbow 4420 etcher. It is in very good physical condition, although ... morethere may be signs of previous use and handling.
$
6750.68
Buy It Now
$131.06 Shipping
Condition: Used
Location: Boise, United States
SN: 94604-5,B72533. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole ... moreJackson at getsparesllc. (See Hours of Operation, above).
$
7200.68
Buy It Now
$102.04 Shipping
Condition: Used
Location: Boise, United States
Five-one-two-nine-two-eight-five-five-five-three Corporate Office. (See Hours of Operation, above). REQUIRED BY US LAW. Zhòngyào de! Rúguǒ méiyǒu zhèxiē ... morexìnxī, tā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ yāoqiú.
$
250.00
Buy It Now
$13.53 Shipping
Condition: Used
Location: Milpitas, United States
Farmon I.D. 419538. IBM IC Electronics ICP Electronics Inc. ICS IDEC IDL Semiconductor IDX IEE IGC Polycold System Inc. Imtec Acculine IN USA INA InBus ... moreEngineering Industrial Computers Inficon Infranor Innova Electronics Integrated Circuit Dev.
$
611.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Nikon 200mm Wafer Indexer Lift with Loader is used, working surplus. The physical condition is good, but there are signs of previous use and handling. ... moreRemoved from a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection System.
$
1925.56
Buy It Now
$41.08 Shipping
Condition: Used
Location: Buda, United States
REQUIRED BY US LAW. We do repairs. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. 重要的!!!如果没有有效的 电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 ... more。. Novellus C-1.
$
900.00
Buy It Now
$41.55 Shipping
Condition: Used
Location: Carpinteria, United States
Search our eBay Store! VAT F02-69561-07 Pneumatic Rectangular Vacuum Gate 200mm Wafer Transfer Valve SKU: JV-HAN-B-VATF0269561 Condition: Used Packaging: ... moreOEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge VAT F02-69561-07 Pneumatic Rectangular Vacuum Gate 200mm Wafer Transfer Valve P/N: F02-69561-07. Gate Size: 32mm x 222mm. Physical Condition: Good- Minor scuffs/scratches on exterior. O-ring shows no signs of cracks or tears. Gate seal appears to be in good condition. One of the original metal cover panels has been replaced with a plexiglass panel. Functionality/Degree of Testing: We do not have the necessary resources to test this unit. Dimensions(L" x W" x H" 3 x 13-3/8 x 13. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shi
$
4712.68
Buy It Now
$80.13 Shipping
Condition: Used
Location: Boise, United States
REQUIRED BY US LAW. WE BUY SURPLUS SEMICONDUCTOR PARTS. Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 ... more电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
3500.00
Buy It Now
$22.95 Shipping
Condition: Used
Location: Buda, United States
REQUIRED BY US LAW. (See Hours of Operation, above). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 ... more电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
2006.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. The wires connectors are missing from the transfer arm, see pics. Condition: ... moreUsed Untested, Sold As-Is. These products are subject to export restrictions under U.S. law.
$
350.78
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
System/Tool: P-8 Wafer Prober. It was removed from a P-8 tool. Part No: P-8 Tray Loader Assembly.
$
2700.00
Buy It Now
$50.00 Shipping
Condition: New – Open box
Location: Putten - Verspreide huizen Huinen en Halvinkhuizen, Netherlands
Tabletop, manual bulk-wafer transfer tool. HWT transfers are compatible with both high- and low-profile cassettes. The HWT series is a very low-maintenance ... moreproduct.
$
3999.00
Buy It Now
$294.57 Shipping
Condition: Used
Location: Saint-Égrève, France
INSTALLATION POSSIBLE ON ASM POLYGON 8300. We will find it for you! 40-30 also proposes maintenance services (vacuum, RF, electronics and cleaning technologies), ... moretraining, IT applications and engineering.
$
1500.00
Buy It Now
Condition: Used
Location: Hollister, United States
This sale is for a Brooks VTR-4 Wafer Transfer Robot, which is used working surplus. However, one of the two end-effector mount points on the robot arm ... morehas been bent upward (seen in pictures). Otherwise, the physical condition of the robot is good and clean.
$
9999.99
Buy It Now
$7.40 Shipping
Condition: Used
Location: Buda, United States
Novellus C-1. (See Hours of Operation, above). Gasonics L3510 Gasonics PEP-3510.
$
3500.00
Buy It Now
$18.96 Shipping
Condition: Used
Location: Buda, United States
REQUIRED BY US LAW. (See Hours of Operation, above). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 ... more电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
8000.82
Buy It Now
Condition: Used
Location: Buda, United States
Your Source for Spares & Repairs. REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, ... moretā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ yāoqiú.
$
875.00
Buy It Now
Free Shipping
Condition: Used
Location: Hollister, United States
It shows signs of use and handling, such as, minor scuffing and scratching. Also, there are few minor rust spots on the paneling. Otherwise, the physical ... morecondition of the unit is good and clean. Condition: Untested, being sold as-is.
$
751.58
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Model No: 9003S. This SVG Silicon Valley Group 99-43012-01 is used working surplus. The physical condition is good, but there are signs of previous use ... moreand handling. Removed from a ASML SVG Silicon Valley Group 90S DUV System.
$
230000.00
Buy It Now
Condition: Used
Location: Carpinteria, United States
SSEC CLEAN 3300. SSEC’s proprietary. Each wafer soaks in heated, recirculating. wafer is subject to a high-pressure spray to rapidly remove remaining ... moreresidue. The result is 100%. Following wet transfer to the spray station, the.
$
6499.99
Buy It Now
Condition: Used
Location: Leander, United States
Model:Gencobot 4 Classic. (1) Genmark Gencobot 4 Classic Wafer Handler. Model: Gencobot 4 Classic. Manufacturer:Genmark Automation. Manufacturer: Genmark. ... moreWafer handling robot. (4) landing stations for wafer boats or cassettes.
$
202.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Model No: LIGHT LEVEL SENSOR. These products are subject to export restrictions ... moreunder U.S. law.
$
776.41
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a AMAT Applied Materials Orbot WF 720 200mm Wafer Inspection ... moreSystem. Removed from a AMAT Applied Materials Orbot WF 720 200mm Wafer Inspection System.
$
3511.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Nikon Wafer Center Table NSR-S204B Step-and-Repeat Used Working. This Nikon Center Table is used working surplus. Removed from a Nikon NSR-S204B Step-and-Repeat ... moreScanning System. The physical condition is great, but there are signs of previous use and handling.
$
450.00
Buy It Now
$20.74 Shipping
Condition: New
Location: Milpitas, United States
AMAT 0140-21253 HARNESS ASSY, TRANSFER CHAM, WAFER SENSOR. K-TEC ELECTRONICS. UP FOR SALE IS A HARNESS ASSY, TRANSFER CHAM, WAFER SENSOR. THE INPUTS LOOK ... moreCLEAN AND APPEAR TO BE IN WORKING ORDER. Fastcom Faulhaber Federal Pioneer Federal Products Co.
$
208.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This KLA-Tencor 073-400554-00 is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from ... morea KLA-Tencor 5107 150mm Overlay Inspection System.
$
10000.00
Buy It Now
Condition: Used
Location: Hollister, United States
This Camtek Falcon 200 ALB Wafer Inspection System (200mm), is used untested surplus and is being sold as-is. Also, the objectives have been removed. ... moreIt shows signs of use and handling, such as, scuffing and scratching to the paneling.
$
309.17
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
VIEWPORT,WFR ALIGN,L/LK,VCTR. The physical condition is great, but there may be signs of previous handling. These products are subject to export restrictions ... moreunder U.S. law.
$
1212.10
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Tokyo Electron wafer indexer assembly is used, working surplus. It was removed from a P-8 automatic prober tool. System/Tool: P-8 Wafer Prober. Part ... moreNo: 200mm Wafer Indexer Assembly.
$
1508.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection ... moreSystem. Part No: Prealigner Pneumatic Chuck Assembly.
$
812.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Model No: Y FLEX. These products are subject to export restrictions ... moreunder U.S. law. Part No: 710-650204-20. Serial numbers or country of manufacture may vary.
$
1256.07
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi M-511E Wafer Cassette Ergo Loader is used working surplus. The physical condition is great, but there are signs of previous use and handling. ... moreRemoved from a Hitachi M-511E Microwave Plasma Etching System.
$
208.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This KLA-Tencor 073-400554-00 is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from ... morea KLA-Tencor 5107 150mm Overlay Inspection System.
$
100.00
Buy It Now
$32.61 Shipping
Condition: Used
Location: Carpinteria, United States
INFORMATION: WAFER HANDLER TOOL. WE HAVE SEVERAL WAREHOUSES. WARRANTY IS NULL VOID IF ANY ITEM IS TAMPERED WITH, OPENED OR DISASSEMBLED. IF THERE ARE ... moreANY PROBLEMS WITH THE ITEM DO NOT TRY TO REPAIR AND INVESTIGATE.
$
1000.00
Buy It Now
Free Shipping
Condition: Used
Location: Hollister, United States
This sale is for a Zeiss Axiosprint Computer for a Computer Zeiss Automated Wafer Inspection Tool, which is used working surplus. The physical condition ... moreof the computer is good and clean. These products are subject to export restrictions under U.S. law.
$
3199.00
Buy It Now
Condition: Used
Location: Santa Barbara, United States
FAITH ENTERPRISES WAFER TRANSFER RAPITRAN II MODEL: 825125. S/N: 11961367. Condition: As-is/ Where- is* We will accept returns within 7 days after your ... morereceipt.
$
2165.00
Buy It Now
$45.42 Shipping
Condition: New – Open box
Location: Santa Barbara, United States
CANON STEPPER LENS AND HOUSING ASSEMBLY 1. Canon MPA 600FA - Mirror Projection Aligner 1. 6" PIN CHUCK (SET OF 2) FOR THE CANON MPA-600 1. CANON BG6-0583 ... moreBH4-0603 BOARD 1. CANON BH4-0542 1. CANON MPA-600 SERIES PARTS IV-VII SERVICE MANUAL 1.
1 2 3 4 5 6 7 8 9 10
 In 

Former Listings  
 
2638 Fortrend Engineering F8225S Control Panel For Wafer Transfer System
You are Buying a Fortrend Engineering Control Panel For Wafer Transfer System 0 0 1 25 145 North Texas Surplus 1 1 169 14.0 Normal 0 false false false EN-US JA X-NONE Used. Good Conditions. Sold As Is. Fortrend Engineering Control Panel Mod: F8225S For Wafer Transfer System 2638 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote ... moreprior to bidding. The charge for freight will be determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
Faith Rapitran 3, Wafer Transfer System, model 822171
Faith Rapitran 3 Wafer Transfer System model 822171
Fortrend F-8225 8" 200mm 25 Wafer Transfer System F8225, XT200 to A192-81M
Fortrend F-8225 8" Wafer Transfer System(25 Wafer Capacity per Station) Comes with what you see in the pictures. If you don't see it. You probably wont get it. Specifications are from Fortrend Engineering and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. The unit is guaranteed to be in working condition. I went through all the functions in manual mode and they all worked. Multiple available. Only 1 sold in the auction. The Unit's Serial Number Tag Reads: Model Number: F-8225 Part Number: 114-1002 Serial Number: 1000-160 Air Pressure: 50 PSI Power Requirements: 120 VAC. 50/60 Hz, 1 A General Description: The Fortrend Wafer Transfer Systems are fully automated and reliable. The systems design reduces contamination and increases yield while increasing throughput by transferring wafer lots. ... moreThe small footprint makes them ideal for those areas where equipment space is limited. Our precise engineering and manufacturing produces a product for the Class 1 cleanroom and sub-micron geometries and serves all fab requirements including wet stations. Robotics and CMP applications or anywhere wafers are placed into process carriers. These systems can be integrated into process equipment for high throughput or used as stand alone equipment. For More Pictures Please Click the Following: For Domestic Customers: Packaging. Handling, and order processing included in shipping in all domestic shipments as quoted by the shipping calculator. For International Customers there is a $50.00 minimum for packaging, handling, and order processing. International shipping to be determined by destination. If you have any questions please email us at: or call Michael at 1-866-MHZ-ELEC(1-866-649-3532) Toll Free. Also. Please to view or other auctions! 10/18/12 Powered by The free listing tool. List your items fast and easy and manage your active items.
MGI Electronics - Phoenix V4 Wafer Transfer System for 100mm Wafers
MGI Electronics- Phoenix V4 Wafer Transfer System for 100mm Wafers DESCRIPTION MGI Electronics. Phoenix V4 Wafer Transfer System/100m Wafers Part#V4 Product Condition: USED Specifications: Phoenix V4 Wafer Transfer system for 100mm Wafers. Local Pickups Local pickup is available for all items Monday thru Friday 8:30am- 4:30pm Domestic Shipments Domestic shipments are sent via UPS or USPS Priority Service. We choose the fastest most economic shipping method based on a items size. Weight, and value ratio. Items sent to Alaska& Hawaii are subject to additional charges. International Shipments We ship to all international countries. International shipments are sent USPS International Priority unless otherwise requested. Contact us for international shipping quotes. Freight Shipments Items requiring freight will be securely strapped to a pallet ... moreand loaded at no additional charge. Carriers assess an extra charge if delivery is made to residential area and/or if the service of a lift gate are required. Rigging charges may apply to items requiring special loading/shipping requirements. Your satisfaction is our highest priority! To the best of our knowledge the item is in perfect working condition. We do our very best to describe items as accurately as possible and in no way would misrepresent or knowingly sell an item that was not working. But since this item is used and at times. We do not know the history of an item, all items are sold"AS-IS" No refunds, returns, or exchanges will be given. Please contact us with any and all questions as your satisfaction is our highest priority.
Fortrend F-3350 Wafer Transfer System
This auction is for a used Fortrend Wafer Transfer System. Model number F-3350. We are selling this Fortrend Wafer Transfer System. Model number F-3350, in As-Is/Where-Is condition with no warranty or representations either expressed or implied. If you don't see it in the photos, you won't get it. Please ask questions or indicate concerns prior to bidding. This system looks to be complete but we are not knowledgeable about Fortrend Wafer Transfer Systems. We have not checked it out or tested it- so we do not know is condition. By purchasing this item you agree to all stated terms. All auction items are sold as advertised, As-Is/Where-Is without any warranty or representations either expressed or implied, unless stated in the item description. This item is available for inspection at our 40,000 square foot facility just outside Atlanta, ... moreGA. Packaging and/or crating services available onsite. Prior to sale. Buyer will contact seller to determine how the item will be packaged and shipped. The agreed to pricing for packaging and crating will be added to the listing prior to the purchase. For all California. Georgia, and Florida ship-to locations sales tax is required by law. Please contact seller for sales tax rates in any of these 3 states prior to purchasing this item.
Fortrend F-6325 Wafer Transfer System
Wafer Transfer System. Model#F-6325 Main System. 3 stage, vertical batch wafer transfer system. 25 to 25 wafer transfer system for 150mm wafer cassettes. Model year 2003. This system is in excellent condition.
Genmark Automation Large 9800109111UT Robot Wafer Transfer System Controller
Search our eBay Store! Genmark Automation Large 9800109111UT Robot Wafer Transfer System Controller SKU: JV-HAN-C-LARGEGEN Condition: Used Packaging: OEM Warranty: 30 day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are only included if pictured or listed in description. If in doubt, please contact us before purchasing. Click an Image to Enlarge Genmark Automation Large 9800109111UT Robot Wafer Transfer System Controller. Model: LARGE. P/N: 9800109111UT. Voltage: ~120/220 V; 600VA; 50/60Hz. Components Inside: Motherboard: BP-14S Rev D. Segmented 6.8. System 1 CPU Card: SBC-411/411E Rev. A1.3 P/N: 1907411002. 486DX5-133 Processor, 16MB EDO DRAM, 16MB DiskOnChip. RS-232 Card#1: Genmark RS232/RS485 Optoisolator IF6001-1. System 1 Slot 2: Genmark LS-421E-1001 w/ ... moreLS-421-2112 Prealigner Interface. System 1 Slot 5: Genmark LS-421E-1001 w/ LS-421-2112 Prealigner Interface. System 2 CPU Card: SBC-411/411E Rev. A1.3 P/N: 1907411002. 486DX5-133 Processor, 16MB EDO DRAM, 16MB DiskOnChip-RS-232 Card#1: Genmark RS232/RS485 Optoisolator IF6001-1. System 2 Slot 2: Genmark LS-421E-1001 w/ LS-421-2112 Prealigner Interface. System 2 Slot 5: Genmark LS-421E-1001 w/ LS-421-2112 Prealigner Interface. Physical Condition: Good- Minor scuffs/scratches on exterior of unit. Functionality/Degree of Testing: Unit powers on. We do not have the necessary resources to further test this unit. Power cable is not included. Dimensions(L" x W" x H" 18¾ x 19 x 7 All parts. Including accessories and cables are only in cluded if pictured or listed in the description. All pictures are taken in house. If you require more information. Please contact the manufacturer and/or research online. As a large liquidator, we do not carry additional information beyond what has been provided to us above. This FDA DISCLAIMER is for MEDICAL DEVICES only and not for all our products: The sale of this item may be subject to regulation by the U.S. Food and Drug Administrat
Fortrend 4 Position Wafer Transfer System, 150mm 2 Quart Boats to 2 Teflon Cass.
Fortrend 4 Position Wafer Transfer System. Model F-6425 Designed to accommodate 4 each 150mm/6" wafer cassettes Easy to Use Rapid Transfer Low Maintenance Costs 6"150mm wafer compatible Designed to accommodate 4 Cassettes: 2 Teflon wafer cassettes transferring to 2 Quartz boats at a time Each Teflon Cassette can hold up to 25 wafers per cassette Each Quartz Boat can hold up to 25 wafers per quartz boat Low Maintenance costs: 110V Controls. Pneumatic Actuation Easy to Use: Push Button Switches. Automatic motions Work horse Design: No motors to fail. Replace or repair Facilities: 110/120VAC 50 PSI Air 3Amp Current Immediately available. System is being offered As Is in order to reduce the price. Please feel free to come by our facility: Requires only Air and 110V outlet to demonstrate operation. Check Out: Purchase: Making purchases ... moreis easy and simple. To place an order for procurement. Please click the“Buy It Now” button. Follow to the next page for payment instructions. Payment: Click the“Pay Now” button at the top of the E-Bay page to proceed with the checkout and payment process. Payment must be made within 5 days of purchase. Accepted Forms: We accept PayPal. Or you may pay on your credit card via PayPal. When paying via PayPal. Payments must include your shipping address and should be submitted via the E-bay payment process. Sales Tax: For California customers. Sales tax is added and depends upon your location. If you are tax exempt. Please provide a copy of your Tax Exempt Certificate prior to check out. Please do not send payment before receiving a revised invoice. Shipping: Shipping rates are the responsibility of the customer and are not refundable. Rates include handling. Packaging materials, label scanning and tracking information. Shipping rates are based upon the weight and dimensions of the package and your location. If we have packaging materials for smaller items. We include packaging and handling at no charge. If they are unavailable, we charge our cost. For larger capital equipment, ple
Genmark DE/RS 11-1112 CPU Board From a Robot Wafer Transfer System Parts/Repair
ï» html_template Genmark DE/RS 11-1112 CPU Board From a Robot Wafer Transfer System Parts/Repair- Please click on the thumbnails for a larger version of the image. Description. Please read the description and view pictures of this auction carefully and thoroughly before making a purchase. We have described this item to the best of our knowledge including all the known and visible flaws. Please contact us with any questions in regards to this listing. Up for sale is a Genmark DE/RS 11-1112 CPU Board From a Robot Wafer Transfer System 0102100692 for Parts and Repair. This item has not been tested. So it may or may not function correctly. There are a few scuff marks and small indentations but overall it is in good physical condition. Items in the pictures are the actual items you will receive. We do not use stock photos. Additional ... moreitems and accessories are NOT included unless specifically stated within the description of the listing. If a power cord is used to power an item on in the picture. It is not necessarily included in the sale. If an item is not seen in any of the pictures. More than likely it is NOT included. If you are not satisfied with the item received for any reason. Please contact us via Ebay messaging system or at 571-292-5772 to resolve the issue. We offer 14 day return policy so rest assured that the problem will be solved! Shipping. The buyer is responsible for all shipping and handling fees and taxes. International buyers are responsible for all customs duties and taxes. If you are an international buyer it is likely that you will have to pay taxes when your item arrives. Please check your countries' taxes before placing an order.For a shipping quote please click on Ebay's"Shipping" tab. We combine shipping. If you order multiple items simply send us an email through Ebay and we will update your invoice. We ship via UPS and USPS, we can also ship on your UPS account. We do not ship via Fedex. We do not ship via First Class Mail International. We will only ship via Pri
(ag 70) Asyst Technologies SMIF-300FL, HAMA, 70mm Wafer Transfer System
ag) Asyst Technologies SMIF-300FL. HAMA, 70mm Wafer Transfer System This unit appesrs to be in good used condition with just some light scuffs and scratches. I have no way to test this unit so it is being sold as is. Thank you for visiting our eBay store! If you have any questions or problems with your purchase. Just send us an email through eBay messaging. We answer all questions as soon as possible. Please contact us before you open a case with eBay. eBay has started counting opened cases against sellers. Regardless if the buyer is pleased with the outcome. We strive to make sure all of our customers feel more than satisfied and work hard to give the best customer service we can. NOTE ON SHIPPING: Shipping is free for all U.S. customers living in the lower 48 contiguous states. All items will be shipped within 1 business day of completed ... morepayment. This item is too large to ship via UPS so it will be sent via freight. The number code in parenthesis at the beginning of the title is an internal company inventory code. It is in no way affiliated with the actual title. Please do not hesitate to contact us with any questions or comments. Prompt. Friendly, and accurate service is our main priority. 250
TEL Tokyo Electron 300mm Wafer Loader Cap for Trias Transfer System Robot
Pulled from a working unit PLEASE REFER TO PICTURES. Unit does not come with accessories unless noted in description. Pulled From A Working TEL TRIAS Transfer System Robot |(TB SPA CVD) TEL Tokyo Electron 300mm Wafer Loader Cap for Trias Transfer System Robot Condition: USED. Pulled from a working unit Estimated Packed Shipping Weight: 10 lbs. Notice Regarding Freight: Please wait for an invoice with shipping charges. Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 14-Day Satisfaction Guarantee(See Details Below) Shipping Notice: Additional shipping charges may apply; pending location. Size and weight of the item(s) Lister: DL Can't find the answer you're looking ... morefor? contact us! Business Hours: 8:00 am to 4:00 pm(PST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: Will-Call Hours: 8:00 am to 3:00 pm(PST) Monday to Friday 8:00AM- 12:00PM(PST) 12:30PM- 3:30PM(PST) Monday to Friday. Email Address: Please Use The eBay Messaging Service, Phone: 408-762-7286, Fax: 408-283-9004, Physical/Mailing Address: Prism Electronics Corp. 18305 Sutter Blvd. Morgan Hill. CA 95037 USA Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards Through PayPal. And Bank Wire Transfers in USD(There is a $25 wire transfer fee) Will-Call items must be paid in full by PayPal or cash with exact change on pickup. We cannot process the order until we receive tax from shipping address within California or a copy of your California Resale Certificate A 8.75% Sales Tax applies to all purchases in CALIFORNIA. All items will be securely packaged or palletized and shipped via the best and most economical way possible. We typically ship with
Faith Enterprises Rapidtran II Wafer Transfer System Ver. 4.33 & Clean Station
Faith Enterprises Rapidtran II Wafer Transfer System Version 4.33& Clean Station This Rapidtran II comes with it's own clean station made from white plastic. The machine sits on a shelf with an air filter above it and a cabinet with 2 shelves below. The cabinet is on wheels and moves easily. The wires to the filter are cut and will need repaired. The filter will probably need to be replaced. The cabinet stands 65 inches tall and 28 inches wide. The depth is 24 inches with the shelf extending an additional 5 inches. The hood containing the filter is another 13 inches tall. This hood is made to sit on top of cabinet in the enclosure. It was not made to be attached and can be lifted off easily. We have turned the machine on and know that it powers up. We have not tested it in any other way. With the power on. The panel reads"Faith ... moreEnterprises Rapidtran II Wafer Transfer System Ver. 4.33" The plate has Model 550125 and Serial Number 12971486. 25 and 50 wafer transfers This will have to be shipped by freight or picked up locally. To request a freight quote. We'll need your address plus whether you are a commercial or residential address plus what kind of equipment you'll need to get this unloaded. If you don't have a forklift or a loading dock available, please let us know. What you see is what you get! Pictures are taken at the time of listing. If it's not in the photos. It's not included in the lot, unless otherwise stated directly in the ad. Available for local pick up at our office in Hayward. California Returns are accepted within 14 days. Re-stocking fees may apply. Please see our full return policy for details. If you have questions or concerns. Please message us, or call Nancy or Raymond at 510-784-1111. We're here Monday-Friday. 8 am- 4:30 pm PST, and at other times by chance! ~ Thank You For Shopping ~! 1011 Bird Room NM 1-14-15