Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
1750.00
Buy It Now
Free Shipping
Condition: Used
Location: Seattle, United States
Typically the MASCOT Loadlock would be mounted to a gate valve attached to a main system chamber. After the loadlock is evacuated to the desired vacuum ... morelevel, and the gate valve opened, the substrate is transferred into the process chamber by gliding the magnet carriage along the outer tube.
$
772.99
Buy It Now
$9.05 Shipping
Condition: Used
Location: Boise, United States
Brooks/Equipe/PRI (For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. Two-zero-eight-nine-eight-two- one-eight-six-two ... moreDirect Line. Nicole Jackson. ).
$
100.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
From AMAT Rorze Wafer Loading System. Mitsubishi AC Servo. Output: 170V 0-360Hz. Input: 3.0 AC100-120V 50Hz - 60Hz.
$
295.00
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Salt Lake City, United States
UPPERARM LINK HOUSING. It appears this item is for a wafer loading robot. GENUINE OEM. Manufacturer refurbished. Quantity (1). Technical Details.
$
3508.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi LP12-II is used working surplus. The physical condition is good, but there are signs of previous use and handling. Rorze 2P Micro Step Drive ... morePart No: RD-023MS. Oriental Motor Part No: DFR1507.
$
35.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Hudsonville, United States
$
5313.65
Buy It Now
$2000.00 Shipping
Condition: Used
Location: South Korea
Manufacturer : SINFONIA. It is the fastest way to settle your concern or issue.
$
4997.54
Buy It Now
Condition: Used
Location: Boise, United States
Search our eBay Store! Brooks Automation 300mm Wafer Cassette Load Port Transfer Handler 002-7560-03 SKU: JV-HAN-C-002BROOKS Condition: For parts or not ... moreworking Packaging: Pallet/Skid Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge New Page 1 Brooks Automation 300mm Wafer Cassette Load Port Transfer Handler 002-7560-03. P/N: 002-7560-03. Physical Condition: Good- Minor scuffs/scratches. Functionality/Degree of Testing: We do not have the necessary resources to test this unit. Power adapter is not included. Dimensions(L" x W" x H" 16 x 18¾ x 55. BEING SOLD AS-IS FOR PARTS OR REPAIR. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Ple
$
3508.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi LP12-II is used working surplus. The physical condition is good, but there are signs of previous use and handling. Rorze 2P Micro Step Drive ... morePart No: RD-023MS. Oriental Motor Part No: DFR1507.
$
1000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
This lot includes a pair of Brooks Automation Load Port Wafer Boat Loader Robots (p/n 127000-123), as shown. However, I have no way of powering it up ... moreor testing them, and they are being sold as-is. 95020 (408)886-3700.
$
44.95
Buy It Now
Free Shipping
Condition: New
Location: Phoenix, United States
Semiconductor devices are manufactured using the most automated and technologically advanced processes in the world. Predictable location for precise ... morewafer access. Details (from the manufacturer). If you're not happy with our product or service, neither are we!
$
3999.00
Buy It Now
Condition: New – Open box
Location: Hayward, United States
$
1710.00
Buy It Now
Condition: Used
Location: Goleta, United States
Taken from Jet system that was most likely never used and was manufactured in 2012. Includes LPM, FOUP, Carbon Fiber Dummy Wafers. Actual (w/ FOUP): 51" ... morex 25" x 23". Actual (w/ FOUP): 275 lbs. Manufacturer: Brooks Automation.
$
600.00
Buy It Now
$120.00 Shipping
Condition: For parts or not working
Location: Israel
Click here to see description.
$
348.49
Buy It Now
$20.00 Shipping
Condition: Seller refurbished
Location: Apache Junction, United States
(MWHALL 14).
$
3900.00
Buy It Now
$75.00 Shipping
Condition: Used
Location: Billings, United States
$
4999.00
Buy It Now
$1599.00 Shipping
Condition: Used
Location: Israel
Actual Picture of the item is provided. What you see in the picture(s) is what you get.
$
3777.95
Buy It Now
$3000.00 Shipping
Condition: Used
Location: South Korea
Manufacturer : Brooks Automation. It is the fastest way to settle your concern or issue.
$
3507.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Brooks Automation 002-7200-21 is used working surplus. The physical condition is good, but there are signs of previous use and handling. Lister 0 ... moreRL.
$
3500.00
Buy It Now
$2500.00 Shipping
Condition: Used
Location: South Korea
Brooks Automation Vision 147237-04/F Wafer Load, USED . Manufacturer: BROOKS AUTOMATION. Model: Vision 147237-04/F. Condition : USED.
$
3512.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Model No: 300FLS2,HAMA ROX/E84. The physical condition is good, but there are signs of previous use and handling. Lister 0 RL.
$
4999.00
Buy It Now
$1599.00 Shipping
Condition: Used
Location: Israel
Actual Picture of the item is provided. What you see in the picture(s) is what you get.
$
34999.00
Buy It Now
Condition: Used
Location: Singapore
Type: Wafer Transfer Robot System. Brooks Automation VISION 173222-01 Wafer Load Port. Brooks Automation 002-7560-03 Wafer Cassette Load Port. Make: Brooks ... moreAutomation. Brooks Automation 100903 Pre-aligner.
$
5313.65
Buy It Now
$3000.00 Shipping
Condition: Used
Location: South Korea
Manufacturer : SINFONIA. It is the fastest way to settle your concern or issue.
$
4800.00
Buy It Now
$3000.00 Shipping
Condition: Used
Location: South Korea
Model : TAS300 E4. Manufacturer : TDK. It is the fastest way to settle your concern or issue.
$
4500.00
Buy It Now
$23.32 Shipping
Condition: Used
Location: Milpitas, United States
Farmon ID: 102624. Whenever necessary. We are also interested in your unwanted or excess inventory.
$
6600.56
Buy It Now
Condition: Used
Location: Austin, United States
REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. FREIGHT 091517NJ. (See Hours of Operation, above). Zhòngyào de! Rúguǒ ... moreméiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù.
$
4800.00
Buy It Now
$3000.00 Shipping
Condition: Used
Location: South Korea
Model : TAS300 E4. Manufacturer : TDK. It is the fastest way to settle your concern or issue.
$
348.49
Buy It Now
$20.00 Shipping
Condition: Seller refurbished
Location: Apache Junction, United States
(MWHALL 14).
$
5500.00
Buy It Now
$52.96 Shipping
Condition: Used
Location: Buda, United States
We do repairs. Your Source for Spares & Repairs.
$
305.91
Buy It Now
$38.00 Shipping
Condition: Used
Location: Singapore
Pull from TDK Wafer FOUP Load Port. HA-033 board dc-dc converter PCMK-g3x cva PHA-033-0. Industrial Single Board Computers. Singapore 608586. #09-101 ... moreEnterprises Hub. Industrial Sol Pte Ltd. Credit Cards.
$
4500.00
Buy It Now
Condition: Used
Location: Scotts Valley, United States
You could process 100, 150 or 200mm wafers in this unit. This is a manual load furnace, no quartz included.
$
4587.16
Buy It Now
$3000.00 Shipping
Condition: Used
Location: South Korea
Model : FIXLOAD 6M. Type : Mapper install. Manufacturer : Brooks Automation. It is the fastest way to settle your concern or issue.
$
4800.00
Buy It Now
$3000.00 Shipping
Condition: Used
Location: South Korea
Model : TAS300 E4. Manufacturer : TDK. It is the fastest way to settle your concern or issue.
$
4570.00
Buy It Now
$3000.00 Shipping
Condition: Used
Location: South Korea
Model : TAS300 F1. Manufacturer : TDK. It is the fastest way to settle your concern or issue.
$
3228.41
Buy It Now
$3000.00 Shipping
Condition: Used
Location: South Korea
Model : FIXLOAD 6M. Manufacturer : BROOKS. It is the fastest way to settle your concern or issue.
$
3825.00
Buy It Now
$1499.00 Shipping
Condition: Used
Location: Israel
What you see is what you get.
$
3150.00
Buy It Now
Condition: Used
Location: Taiwan
TDK CORPORATION TAS300 F1 Wafer Load Port 0190-16691-001 (02TF8775) (02TF8780) (As-Is). Model : TAS300 F1. It is the fastest way to settle your concern ... moreor issue. We are willing to respond to your inquiry.
$
1000.00
Buy It Now
$350.00 Shipping
Condition: Used
Location: Ireland
Keyence 300mm 25 Wafer Batch Hand Carrier Cassette F3129-C063 MS893. 25 Wafer Batch Hand Carriers transfer to /from cassette.
$
596.20
Buy It Now
$15.52 Shipping
Condition: For parts or not working
Location: Ventura, United States
Headway Research Inc. PWM32-PS-CB15 Spinner System. Up to 10.000 rpm, for relatively light loads such as silicon wafers, small photomasks, etc. SKU 46868
$
4570.00
Buy It Now
$3000.00 Shipping
Condition: Used
Location: South Korea
Model : TAS300 F1. It is the fastest way to settle your concern or issue.
$
3599.10
Buy It Now
$1550.00 Shipping
Condition: Used
Location: Israel
What you see is what you get.
$
4500.00
Buy It Now
$2500.00 Shipping
Condition: Used
Location: 충주시, South Korea
USED RORZE ROBOTECH RV201-F05-009-2 SELL'AS IS' FREE SHIPPING TO WORLD WIDE*IMPORTANT* PLEASE CORRECT YOUR PHONE NUMBERS IN EBAY SHIPPING ADDRESS ... morePROFILE BEFORE YOU BIT THIS ITEM. OR LET US HAVE YOUR CONTACT NUMBERS VIA E-MAIL FOR EASY AND FASTER DELIVERY OF WINNING ITEM(S) FREE SHPPING AND HANDLING FEE. CONDITION Being sold as is. SHIPPING Winning bidderr will get free Shipping and Handling. Normally it will take less than 10 working days to deliver to winning bidder. PLEASE LET US KNOW YOUR CONTACT NUMBERS VIA E-MAIL FOR EASY AND QUICK DELIVERY. OR CHANGE YOUR PERSONAL INFORMATION BEFORE YOU BID. PAYMENT Pament must be received prior to shipping by PAYPAL ONLY. RETURN POLICY Due to the nature of electronic components we are not able to offer a warranty or accept returns. Thanks for visiting! CLICK HERE! TO VISIT OUR STORE TO SEE MORE INTERESTING ITEMS. Click Here. Double your traffic. Get Vendio Gallery- Now FREE! Powered by The free listing tool. List your items fast and easy and manage your active items. Powered by The free listing tool. List your items fast and easy and manage your active items. Powered by The free listing tool. List your items fast and easy and manage your active items.
$
4500.00
Buy It Now
$443.00 Shipping
Condition: Used
Location: South Korea
ASML 4022.667.58681 WAFER LOAD PORT 4022.630.86784, USED . Condition :USED. Actual Picture of the item is provided. What you see in the picture(s) is ... morewhat you get.
$
2995.00
Buy It Now
Condition: Used
Location: Mountainair, United States
The tall tape and cardboard area to the right above and left below is what we think is a a wafer transfer port slot. The image below is looking down in ... morethe top of the section to the left in the image above.
$
15950.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
Capacity for Image Reversal: 4 cassettes of 4” wafers, or 1 cassette of 5-8” wafers. Throughput for Image Reversal: (2) ½ loads/hr.
$
250.00
Buy It Now
$13.53 Shipping
Condition: Used
Location: Milpitas, United States
Farmon I.D. 419538. IBM IC Electronics ICP Electronics Inc. ICS IDEC IDL Semiconductor IDX IEE IGC Polycold System Inc. Imtec Acculine IN USA INA InBus ... moreEngineering Industrial Computers Inficon Infranor Innova Electronics Integrated Circuit Dev.
$
9999.99
Buy It Now
Condition: For parts or not working
Location: United States
Recif VMT8 Vertical Transfer Wafer Sorter. Model Number: VMT8. Specifications are from Recif and may vary slightly due to upgrades, options, or revisions ... morethis unit may or may not have. Vacuum free vertical transfer.
$
3631.96
Buy It Now
$3000.00 Shipping
Condition: Used
Location: South Korea
Model : FIXLOAD 6M. Manufacturer : BROOKS. It is the fastest way to settle your concern or issue.
$
32000.00
Buy It Now
Condition: Used
Location: Switzerland
STS / CPX Multiplex is a high-precision etcher-asher designed for the production of high quality microelectronic systems. CPX Multiplex has a 4-axis robotic ... morearm that can position the substrate at any angle, and the laser beam can be directed with precision.
$
45000.00
Buy It Now
Condition: For parts or not working
Location: Schenectady, United States
Model:Plasmalab 100. Process: PECVD & TEOS. Our facility includes a truck-height loading dock for convenience. Local pickup is also available. Ten Process ... moreGas Inputs with MFCs. CF4 80%, O2 20%--500 SCCM---MKS 1179A.
$
14999.99
Buy It Now
Condition: Used
Location: Leander, United States
Model: 4000-5333-03 / IMS1200LM. Newport IMS1200LM. For 300mm Wafer. Manufacturer:Newport Electronics. Vacuum Chuck. Dock or forklift?. Pitch, Typical±125 ... moreµrad. Peak Motor Force210 N.
$
4800.00
Buy It Now
$2000.00 Shipping
Condition: Used
Location: South Korea
Model : TAS300 E4. Manufacturer : TDK. It is the fastest way to settle your concern or issue.
$
18525.00
Buy It Now
Condition: Used
Location: Goleta, United States
Brooks Automation Wafer Handling Robot w/ Power Supply and Cables USED (7008) R In great cosmetic condition. Removed from a very low hour 2012 Jet Atmospheric ... moreTransport System, we believe it was never used. (These Specifications were taken from an online Brooks Automation brochure and may not be accurate, we believe it is a Razor AWA Wafer Aligner made BUT for 450mm wafers). See pictures for more detail.
$
19999.00
Buy It Now
$2999.00 Shipping
Condition: Used
Location: Israel
Search our eBay Store! Brooks Automation Fixload 6M 300mm Wafer Load Port Transfer Handling PARTS#2 SKU: JV-DAN-C-FIX6PARTS2 Condition: For parts or not ... moreworking Packaging: Pallet/Skid Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are only included if pictured or listed in description. If in doubt, please contact us before purchasing. Click an Image to Enlarge Brooks Automation Fixload 6M 300mm Wafer Load Port Transfer Handling Unit AS-IS FOR PARTS OR REPAIR. Model: 6M. Type: Load Port. Physical Condition: Unit appears to be in non-working physical condition. Cosmetic scuffs/scratches on unit’s body. We do not have the necessary resources to test this unit. Dimensions(L" x W" x H" 52 x 25 x 18 ½ BEING SOLD AS-IS FOR PARTS OR REPAIR. Manufacturer's Description(for informational purposes only) Brooks-PRI's FIXLOAD 6 M next-generation load port incorporates the expertise gained from a worldwide installed base of nearly 6.000 FIXLOADs, and builds upon this success using a continuous-improvement design philosophy. This effort has produced a load port that provides maximum reliability, the industry's fastest wafer-access time, ultra-clean operation, optimized load port-to-FOUP interoperability and all the features and options required by end users. The FIXLOAD 6 M is fully compliant to relevant SEMI standards and is completely backward compatible. All parts. Including accessories and cables are only in cluded if pictured or listed in the description. All pictures are taken in house. If you require more information. Please contact the manufacturer and/or research online. As a large liquidator, we do not carry additional information beyond what has been provided to us above. This FDA DISCLAIMER is for MEDICAL DEVICES only and not for all our products: The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so.
$
75000.00
Buy It Now
Condition: Used
Location: Decatur, United States
EVG 101 SPRAY COATING SYSTEM consisting of - Model: EVG 101. - Syringe dispense for spray nozzle option included. - One Coat Module. - 1 Vacuum Wand for ... morewafer handling. - Spinner chucks for 1" - 3" and 4" - 6" wafers.
$
27499.99
Buy It Now
Condition: Used
Location: Phoenix, United States
Sikama UP4000 Ultra Profile 4000 Reflow Solder/Curing Oven. The UP4000 efficiency of operation and minimal use of electricity and gas, along with small ... morefootprint are the results of Sikama's unique design for balanced heating and cooling.
$
35000.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
The process periods are typically 1‑600 seconds in duration, although periods of up to 9999 seconds can be selected. The wafer to be processed is placed ... moreon a quartz tray that slides into a quartz isolation tube in the oven unit.
$
29000.00
Buy It Now
Condition: Used
Location: Scotts Valley, United States
Expertech remanufactured this maxibrute in the mid 2000s, and the tool was used in a prototype lab for a number of years. Unit was orignally manufactured ... moreat the Thermco Products factory on Batavia Orange California.
$
600.00
Buy It Now
$400.00 Shipping
Condition: Used
Location: Ireland
RTX#: 900621.
1 2 3 4
 In 

Former Listings  
 
Asyst 9700-5970-01 SMIF-300FL Wafer Load Ports
You are bidding on an Asyst SMIF-300FL Load Port. Let us know if you only need a part from it to save on shipping costs. PTB packs parcel shipments utilizing foam in place packaging with care. We ship heavy items(we draw the line at 100 lbs in most cases) boxed and strapped to a pallet. We will bill shipments to your shipping account or add into the invoice total. Our preferred carrier is FedEx. 626-334-0500 1331 Mountain View Circle Azusa. CA 91702
Asyst SMIF-300FL Front-Load Port FA 300FL / Wafer Processing
Item up for sale is a: Asyst Model SMIF-300FL Front Load Port Wafer Processing The SMIF-300FL. Herein referred to as the Front-Load, is a wafer load port for presenting a cassette of 300mm wafers to the process tool while maintaining a better than Class 1 cleanroom environment for the wafers. Specifications: Wafer Size: 300mm Height: 1385mm(54.53" Width: 470mm(18.5" Depth: Front of Pod Advance Plate to BOLTS Interface Plane: 463mm(18.2" Reserved Door Opening/Closing Space Per BOLTS: 100mm(3.93" Mounting: Front-Load is designed to directly mount onto a Process Tool having a SEMI E63(BOLTS) interface. FOUP Load Height: 900mm(35.43" Horizontal Datum Plane Laser(Wafer Mapping Option) Diode Laser Wavelength: 800nm Laser Power: 0.2mW(Class 1) Door Speed: 3 inches/sec. 76.2 mm/sec) OPEN/CLOSE Power: 24 VDC(+10% 5% from external ... moresource. 1.5 Amp standby, 3.5 amp max. Communications: Serial RS-232C(SECS I/ SECS II/ ASCII) Parallel Carrier Types: 300mm 25-Wafer FOUP(Front Opening Unified Pods) Environmental: Operating Temperature: 10°C to 40°C Operating Humidity: 10 to 75% Storage Temperature: 10°C to +55°C Storage Humidity: 5 to 90% non-condensing Cleanliness: Particles per wafer Pass(PWP) 0.009 particles greater than 0.09µm Compliance: Front-Load is CE certified to directives 89/392/EEC and 89/336/EEC and Complies with S2-93A Safety Guidelines. This item is NOT tested unless otherwise noted. If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. 150 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. Payment options: PayPal only or contact us for payment methods to pay on pickup. Warranty for US shipments: 14 days testing period unless the auction specified as final sale. Buyer must return the product back to the company i
Fluoroware A057-1 End-Load Style Handle for 200mm Low-Profile Wafer Carrier 18”
Search our eBay Store! Fluoroware A057-1 End-Load Style Handle for 200mm Low-Profile Wafer Carrier 18” SKU: JV-MAT-C-A0571HANDLE Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Fluoroware A057-1 End-Load Style Handle for 200mm Low-Profile Wafer Carrier 18” Model: A057-1 Physical Condition: Good. Minor scuffs/scratches and signs of use. Functionality/Degree of Testing: We do not have the necessary resources to properly test this unit. Auction is for handle only. Wafer carrier is not included. Dimensions(L" x W" x H" 18.25*2.75*4.5 THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. ... moreSVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your pur
Fluoroware A057-2 End-Load Style Handle for 200mm High-Profile Wafer Carrier 18”
Search our eBay Store! Fluoroware A057-2 End-Load Style Handle for 200mm High-Profile Wafer Carrier 18” SKU: JV-MAT-C-WAFERHANDLE2 Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Fluoroware A057-2 End-Load Style Handle for 200mm High-Profile Wafer Carrier 18” Model: A057-2 Physical Condition: Good. Minor scuffs/scratches and signs of use. Functionality/Degree of Testing: We do not have the necessary resources to properly test this unit. Auction is for handle only. Wafer carrier is not included. Dimensions(L" x W" x H" 18.25*2.75*4.5 THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. ... moreSVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your
Applied Materials Assembly Endura Load Ports Wafer Processing 33 ½ x18x18 No Key
All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item. The Item may vary from picture as manufacture may change model appearance. MHZ ELECTRONICS INC. CALL NICK- 1-866-MHZ-ELEC- MHZ ELECTRONICS INC. CALL NICK- 1-866-MHZ-ELEC- MHZ ELECTRONICS INC. CALL NICK- 1-866-MHZ-ELEC- MHZ ELECTRONICS INC. CALL NICK- 1-866-MHZ-ELEC- Applied Materials Assembly Endura Load Ports Wafer Processing 33 ½ x 18 x 18(We don’t have key for it) comes with what you see in the pictures. If you don't see it. You probably won’t get it. Specifications are from manufacturer and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. eBay’s ... moredescription of“USED” and our description of“USED” is different, therefore because eBay requires the filling in of this field, we state as“USED” PLEASE READ OUR“CONDITION” for our description of“USED” Pictures May not reflect actual Part Ordered Manufacture: Applied Materials Model Number: unknown? Type: Load Port for Wafer Processing Retail Price: $5.000.00 Condition: Used. Removed from Service General Description: Applied Materials Assembly Endura Load Ports Wafer Processing 33 ½ x 18 x 18 Dimensions: Table Top-33 ½ x 18 x 18 inches, Table Top Plate-10 x 10 x 1 ½ inches, The Whole Unit-54 x 18 ½ x 23 Features(May Include) Stainless For More Pictures Please Click the Following: The charge for freight will be determined by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items it will be determined by the size and the care that has to go into packing an item. Most of the time. People are impressed with the job we do because we customize our own skids for larger items and we take the utmost care to provide a safe and cozy journey for your item. We strive to make our customers happy and want you to feel that
CVC 611: Sputtering System with Load Lock and Wafer Loading Robot
Batch-type production sputtering system with load lock and wafer loading robot. The unit has four cathode positions and current has three cathodes. o 8-inch diameter targets. O 1 Advanced Energy MDX 5kW power supplies. O 1 ENI 3kW RF Power Supply. O 1 CVC Ion Beam Power Supply. Three 100 sccm mass flow controller. Automated throttle valve position controller. Sputter up configuration to minimize debris on the substrate. 2 substrate carousels for 100 mm and 125mm substrates(8-10 substrates per carousel) Pfeiffer 1500 liter/s Mag Lev Turbo pump(TMP1501) with on-board controller(OPS900) The load lock and robot have not been used since seller does not process wafers. Hardware is intact and manually functional. The software for the robot however does not function. System currently used for metals deposition(primarily Mo. But also Cr, Ti and Nb) ... moreSystem is fully functional and can be demonstrated. System has several significant improvements. Carousel Rotation. O Original chain and gear motor replace with a state-of-art Animatics Smart Motor. O Provides true position knowledge that enables stopping the carousel at any position such as the substrate directly above any of the cathode positions. O Homing capability. O User settable carousel speed control from stationary to 400 rpm. Heaters. O New aluminum lid with integrated heaters. O Heater location pockets can be repurposed with sputter down back-side cathodes data acquisition. O Heaters constructed of Watlow serpentine Fire Rods with graphite re-radiation plates. O Control thermocouples embedded in the replaceable graphite re-radiation plates. O Watlow EZ zone controllers(4) and RKC 25A phase angle fire SCRs(4) § Industrial standard breakers and contactors. User interface. O Custom user interface with: § Main operation user screen with I/O status. Gas flow read/write, heater setpoint read/write, and sputtering cathode read. O I/O Operation screen to allowing individual actuation of each digital I/O. O Set-up screen for the heaters. MDX
Brooks Automation Fixload 6M 300mm Wafer Load Port Transfer Handling PARTS #2
Search our eBay Store! Brooks Automation Fixload 6M 300mm Wafer Load Port Transfer Handling PARTS#2 SKU: JV-DAN-C-FIX6PARTS2 Condition: For parts or not working Packaging: Pallet/Skid Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are only included if pictured or listed in description. If in doubt, please contact us before purchasing. Click an Image to Enlarge Brooks Automation Fixload 6M 300mm Wafer Load Port Transfer Handling Unit AS-IS FOR PARTS OR REPAIR. Model: 6M. Type: Load Port. Physical Condition: Unit appears to be in non-working physical condition. Cosmetic scuffs/scratches on unit’s body. We do not have the necessary resources to test this unit. Dimensions(L" x W" x H" 52 x 25 x 18 ½ BEING SOLD AS-IS FOR PARTS ... moreOR REPAIR. Manufacturer's Description(for informational purposes only) Brooks-PRI's FIXLOAD 6 M next-generation load port incorporates the expertise gained from a worldwide installed base of nearly 6.000 FIXLOADs, and builds upon this success using a continuous-improvement design philosophy. This effort has produced a load port that provides maximum reliability, the industry's fastest wafer-access time, ultra-clean operation, optimized load port-to-FOUP interoperability and all the features and options required by end users. The FIXLOAD 6 M is fully compliant to relevant SEMI standards and is completely backward compatible. All parts. Including accessories and cables are only in cluded if pictured or listed in the description. All pictures are taken in house. If you require more information. Please contact the manufacturer and/or research online. As a large liquidator, we do not carry additional information beyond what has been provided to us above. This FDA DISCLAIMER is for MEDICAL DEVICES only and not for all our products: The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so.
RECIFSA F0300B03 Load Port 0119 Nikon NSR-S204B Wafer Loader working
This RECIFSA F0300B03 load port is used working surplus. The physical condition of the load port is good and clean. But may have some minor scratches from use and handling. It was removed from a Nikon NSR-S204B wafer loader. RECIFSA Load Port Model No: F0300B03 Serial No: 0119 Date: 2000 Made in France Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Requires Freight Shipping. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be ... moresent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona
NEW Brooks Fixload 6 EFEM FOUP Load Port Wafer Pod Front Loader 013096-761-20
Questions? Call us: 1-877-328-9236. NEW Brooks Fixload 6 EFEM FOUP Load Port Wafer Pod Front Loader 013096-761-20. Manufacturer: Brooks Model: Fixload 6 Condition: Used Price: Cosmetic appearance may vary slightly from pictured unit(s) Manufacturer: Brooks Condition: Used. This load port is in Good cosmetic condition with only very minor-to-no cosmetic blemishes from prior use. Notes: These were removed from a decommissioned tool and not tested separately. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Brooks Fixload 6 Loader, Specifications: Manufacturer: Brooks Automation, Type: FIXLOAD 6, 300mm wafer load port, Fast wafer access(6 seconds) Highest cleanliness, Field proven reliability, Easiest maintenance access, Subassembly: Type: TBM. Subtype: L, Part No. 013096-761-20, Mounting bracket ... moreincluded, SKU: O14D023 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Orders shipped to Texas will incur State Sales Tax at a rate of 7.25% Shipping. This item will be shipped on a pallet via LTL Freight. Please fill out to receive a shipping quote. Buyer pays for all shipping costs. This cost includes professional packing& insurance. Handling time is two to three business days after receiving cleared
Fortrend 120-1004 Wafer Load Station F8025S Tested Working
This Fortrend 120-1004 is used working surplus. It was tested in our facility and is fully functional. The physical condition is fair and there are signs of previous use and handling. Unit has scratches. Part No: 120-1004 Model No: F8025S Input: AC100V-240V. 50/60Hz, 3A Air Pressure: 50 PSI Made in USA Condition: Tested Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 36"x24"x20" 88 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number, date or country ... moreof manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 14 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or p
Fortrend 120-1004 Wafer Load Station F-8025S Tested As-Is
This Fortrend 120-1004 is used surplus. It was tested in our facility and determined that it powers on but will not function. Unit has a bad motor. Pcb is disconnected and right side comb is damaged. Unit is good for parts. The physical condition is fair and there are signs of previous use and handling. Unit has tape around the front and sides. This item is being sold As-Is. Part No: 120-1004 Model No: F-8025S Input: AC120VAC. 50/60Hz, 1A, 50PSI CE Marked Sold As-Is for Parts Made in USA Condition: Tested Not Working. Sold As-Is Estimated Packed Shipping Dimensions: L x W x H = 36"x24"x20" 88 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or ... morementioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number, date or country of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 14 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax
Fortrend 114-1002 2-Tray Wafer Load Station F8825 Tested Working
This Fortrend 114-1002 is used working surplus. It was tested in our facility and is fully functional. The physical condition is good. But there are signs of previous use and handling. Part No: 114-1002 Model No: F8825 Input: 100V-240V. 50/60Hz, 3A Made in USA Condition: Tested Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 34"x24"x24" 80 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number, date or country of manufacture is not necessarily the one ... morethat will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 12 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most
Fortrend 114-1005 2-Tray Wafer Load Station F8225 Tested Not Working
This Fortrend 114-1005 is used surplus. It was tested in our facility and determined that unit powers on but will not complete a cycle in auto and is having trouble with comb and lift in manual. The physical condition is fair and there are signs of previous use and handling. Unit has scratches and dents. This item is being sold As-Is. Part No: 114-1005 Model No: F8225 Input: 120/220VAC. 3A, 50PSI Made in USA Condition: Tested Not Working. Sold As-Is Estimated Packed Shipping Dimensions: L x W x H = 36"x24"x20" 88 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is ... morenot included or available for sale. For multiple listed items. The pictured serial number, date or country of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 14 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchase
Asyst 4001-6746-02 Wafer Holder Reticle Holder Pod, Single Cassette Load Case
Questions? Call us: 1-877-328-9236. Asyst 4001-6746-02 Wafer Holder Reticle Holder Pod. Single Cassette Load Case. Manufacturer: Asyst Technologies Model: 4001-6746-02 Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Asyst Technologies Condition: Used. Comes in non-original packaging. This pod is in good condition with a few dings and dents that you can see in pictures 3 and 4. Notes: This wafer pod was pulled from a working setup that was being decommissioned. No testing was performed. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Asyst 4001-6746-02 Wafer Reticle Holder Pod. Single, Specifications: Manufacturer: Asyst Technologies, Model: 4001-6746-02, Description: Reticle Holder Pod. Single, Total Weight: 4.10 lbs, Condition: Used, Quantity: ... more1, SKU: O34P017 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. DougDeals does not ship on buyer accounts or allow the buyer to arrange shipping. Shipment
Brooks Automation 002-7200-08 Wafer Load Port
Brooks Automation 002-7200-08 Wafer Load Port Has general signs of normal wear and tear which may include scratches. Scuffs, dirt, sticker residue etc on the exterior casing. PLEASE REFER TO PICTURES. Unit does not come with accessories unless noted in pictures. Brooks Automation PN: 002-7200-08 Wafer Load Port Condition: Used. Untesed. Estimated Packed Shipping Weight: 150 lbs. Notice Regarding Freight: Please wait for an invoice with shipping charges. Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 14-Day Satisfaction Guarantee(See Details Below) Lister: CL Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 4:00 pm(PST) Monday to Friday ... moreWe are closed on Weekends and all major US holidays. Warehouse Delivery Hours: Will-Call Hours: 8:00 am to 3:00 pm(PST) Monday to Friday 8:00AM- 12:00PM(PST) 12:30PM- 3:30PM(PST) Monday to Friday. Email Address: Contact via eBay messaging system, Phone: 408-762-7282, Fax: 408-283-9004, Physical/Mailing Address: Prism Electronics Corp. 18305 Sutter Blvd. Morgan Hill. CA 95037 USA Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards Through PayPal. And Bank Wire Transfers in USD(There is a $25 wire transfer fee) Will-Call items must be paid in full by PayPal or cash with exact change on pickup. We cannot process the order until we receive tax from shipping address within California or a copy of your California Resale Certificate A 8.75% Sales Tax applies to all purchases in CALIFORNIA. All items will be securely packaged or palletized and shipped via the best and most economical way possible. We typically ship within 1 business day however. Under certain circumstances please allow up to 5
Brooks Automation Fixload 6 Wafer Load Port / 701-0401-01 TBM
Brooks Automation Fixload 6 Wafer Load Port/ 701-0401-01 TBM Pulled from a professional working environment. I have four of these units. One of them is not fully assembled. They are all in really nice condition and I have them wrapped in plastic to keep them free of contaminants. Tested for Full Functions. R2/Ready for Resale Please Note: if you receive an item(DOA Dead On Arrival OR DAMAGED IN TRANSIT. We will appreciate if you send us a message and on the topics please choose"Details Or Other" but please don't open an eBay/Paypal claim before giving me the chance to resolve the issue. We reserve the rights to not honor any additional request after the transaction is completed. So please ask any question you might have before you hit“Buy It Now” button. Payment Options: We Prefer PayPal For Credit Cards please Contact Us, Shipping: ... moreShipping to United States: We ship FedEx and USPS. Alaska. Hawaii, And Puerto Rico require additional shipping charges. International shipments must be shipped via USPS Express or Priority Mail. If shipping to a PO Box you MUST select Priority Mail shipping option. Buyer’s please make sure your shipping information is FULL and correct. We require a Telephone# for all international shipments. We do not accept responsibility for lost or stolen items as a result of poor or unsafe shipping information. Buyer is fully responsible for all customs duties. Taxes, and shipping. International returns require buyer to cover all shipping costs. We do not mark Items as gifts or lower their custom values. We are a Business and we cannot do that. You will receive tracking information at the email tied to your ebay account. Tracking information will also be updated in ebay once the shipment is processed. Standard shipping = First Class Mail. Unless otherwise noted) Ground Shipping = FedEx Ground Shipping Expedited Shipping = USPS Priority Mail 2day Shipping = FedEx 2day shipping, Return: 14 DAY RETURN POLICY: We want our Customers to be happy with their purchases. So customers are welco
2x Brooks Automation Wafer Transfer Load Ports 002-7200-12 **for parts/repair**
2x Brooks Automation Wafer Transfer Load Ports 002-7200-12 Description For sale is a lot of two Brooks Automation Wafer Transfer Load Ports. These units were recently retired from service. But we do not have the ability to test them for functionality. Look closely at the pictures to see some parts may be missing. This lot is very large and heavy so it must be shipped freight, you can arrange your own courier or we can supply you with a quote. Items are being sold for parts/repair only. Auction Includes: 2 Brooks Automation 002-7200-12 Wafer Load Ports Only items pictured are included. If a part is not pictured or mentioned in the description then it is not included in the sale. If you are unsure about any aspect of this item please send an email and ask questions before bidding. We do offer combined shipping discounts and free local pickup. ... moreEmail for details. OceanTech WE BUY& SELL IT EQUIPMENT Guarantee 14 DAY RETURN POLICY: We want our Customers to be happy with their purchases. So customers are welcome to return items within the first 14 days of receiving the item if they are unhappy with the item. There will be a 20% restocking fee on any 2nd return from the same customer that are not due to a hardware failure within the first 14 days of receiving the item. There will be no restocking fee for items that break in transit or have a hardware failure in the first seven days the customer receives it. Buyer is responsible for return shipping charges unless there was a hardware failure We will not issue refunds for items we deemed tampered with or are dissembled by the customer. Our Customer Support We do our best to ensure that each and every transaction is free of problems and issues. If you have any questions or concerns we are here to help. Per eBay's policy and guidelines you must contact us before opening a case. We ask that you only open a case as a last resort and will do everything we can to prevent you from needing to do so. If you would like to Contact us! Please use the eBay message system under
Asyst IsoPort 300mm Wafer Load Port *Great Condition*
Asyst IsoPort 300mm Wafer Load Port*Great Condition* Pulled from a professional working environment. Guaranteed non-DoA. Tested for Full Functions. R2/Ready for Resale Please Note: if you receive an item(DOA Dead On Arrival OR DAMAGED IN TRANSIT. We will appreciate if you send us a message and on the topics please choose"Details Or Other" but please don't open an eBay/Paypal claim before giving me the chance to resolve the issue. We reserve the rights to not honor any additional request after the transaction is completed. So please ask any question you might have before you hit“Buy It Now” button. Payment Options: We Prefer PayPal For Credit Cards please Contact Us, Shipping: Shipping to United States: We ship FedEx and USPS. Alaska. Hawaii, And Puerto Rico require additional shipping charges. International shipments must be ... moreshipped via USPS Express or Priority Mail. If shipping to a PO Box you MUST select Priority Mail shipping option. Buyer’s please make sure your shipping information is FULL and correct. We require a Telephone# for all international shipments. We do not accept responsibility for lost or stolen items as a result of poor or unsafe shipping information. Buyer is fully responsible for all customs duties. Taxes, and shipping. International returns require buyer to cover all shipping costs. We do not mark Items as gifts or lower their custom values. We are a Business and we cannot do that. You will receive tracking information at the email tied to your ebay account. Tracking information will also be updated in ebay once the shipment is processed. Standard shipping = First Class Mail. Unless otherwise noted) Ground Shipping = FedEx Ground Shipping Expedited Shipping = USPS Priority Mail 2day Shipping = FedEx 2day shipping, Return: 14 DAY RETURN POLICY: We want our Customers to be happy with their purchases. So customers are welcome to return items within the first 14 days of receiving the item if they are unhappy with the item. There will be a 20% restocking fee any return that are not