Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
39000.00
Buy It Now
Condition: For parts or not working
Location: Freehold, United States
Dual chamber unit with robot loader. Currently configured with nine MFC. Was lasted used to process 4" wafers.
$
17500.00
Buy It Now
Condition: Used
Location: Christiansburg, United States
(3) Do you have a dock or a forklift?. This fee includes strapping the item to a skid, wrapping with stretch wrap, cardboard, and bubble wrap when needed.
$
69999.99
Buy It Now
Condition: Used
Location: San Diego, United States
Model type: 800+RIE. In others, we can do very little or no testing. If we do not say it is ?. tested and working properly?., we can only promise that ... moreit will perform the tests described, and nothing more.
$
79000.00
Buy It Now
Condition: For parts or not working
Location: Carpinteria, United States
The Surface Technology Systems Multiplex range of plasma systems combine a single wafer or batch vacuum load lock with STS etch plasma source to produce ... morea platform of unrivaled quality and reliability for both R&D and pilot-production applications.
$
3000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
$
79200.00
Buy It Now
$659.00 Shipping
Condition: Used
Location: Singapore
$
49500.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
This system requires 208VAC, 60Hz, but it appears to have five input wires (three black line wires, and green ground wire, and an white neutral wire); ... morewe do not have any power outlets that accommodate five wires, so we have no way of powering up or testing this system, or any of its com ponents, and it is being sold as-is.
$
2004.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Plasma-Therm Clusterlock 7000 PM RIE Reactive Ion Etching System. The physical condition is good, but there are signs of previous use and ... morehandling. Part No: 714. Axiom Single Board Computer SBC Part No: SSC-486VGA.
$
50000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
Is there a Dock or Forklift available?.
$
79000.00
Buy It Now
Condition: For parts or not working
Location: Carpinteria, United States
Surface Technology Systems (STS) Multiplex Reactive Ion Etcher (RIE) 01 The Surface Technology Systems Multiplex range of plasma systems combine a single ... morewafer or batch vacuum load lock with STS etch plasma source to produce a platform of unrivaled quality and reliability for both R&D and pilot-production applications.
$
1053.63
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Dell Optiplex 755 Alliance Envision Backup Computer Lam Research Continuum Spare is used working surplus. The physical condition is good, but there ... moreare signs of previous use and handling. Part No: Optiplex 755.
$
1005.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Lam Research 715-704170-001 Wafer Chuck Lift 715-704169-001 FPD Continuum Spare is used working surplus. The physical condition is good, but there ... moreare signs of previous use and handling. Removed from a Lam Research FPD Continuum RIE Reactive Ion Etching Asher System.
$
19250.00
Buy It Now
Condition: Used
Location: Longwood, United States
Plasma Etch BT-1/C Plasma Etcher / Plasma Cleaner. Includes vacuum pump. 70"x36”x32”Unit Weight.
$
2004.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Plasma-Therm Clusterlock 7000 PM RIE Reactive Ion Etching System. The physical condition is good, but there are signs of previous use and ... morehandling. Part No: 714. Axiom Single Board Computer SBC Part No: SSC-486VGA.
$
306.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Flange: NW50. The physical condition is good, but there are signs of previous use and handling. Removed from a Lam Research FPD Continuum RIE Reactive ... moreIon Etching Asher System. Part No: 152-0050K-S02.
$
145000.00
Buy It Now
Condition: Used
Location: Morgan Hill, United States
SAMCO RIE 10 NR – SAMCO RIE 10NR Reactive Ion Etch System | Dry Etching System / Tool with Thermo Electron Thrmoflex 900 Chiller. Maker: SAMCO. 5 SAMCO ... moreRIE 10 NR. 3 PlasmaTherm 790 Series Reactive Ion Etching Plasma Enhanced System RIE.
$
79500.00
Buy It Now
Condition: Used
Location: Morgan Hill, United States
Model: 790 10 RIE / PE MN Fr, Serial# PTI78289. 4 Plasmatherm SLR 720 RIE Etcher Plasmatherm 720. 5 SAMCO RIE 10 NR. 17 Tegal 903e Dry Etch Plasma Etch ... moreRIE. 8 SPTS STS Surface Technology SystemsMultiplex ICP HRM Plasma Etcher.
$
65000.00
Buy It Now
Condition: New
Location: Morgan Hill, United States
$
125000.00
Buy It Now
Condition: New
Location: Morgan Hill, United States
$
195000.00
Buy It Now
Condition: Used
Location: China
2006 OXFORD Plasmalab 100 RIE (FL) Reactive Ion Etcher. OXFORD Plasmalab 100 RIE (FL) For Sale. RIE set up for SiO2 Etch. 3 PlasmaTherm 790 Series Reactive ... moreIon Etching Plasma Enhanced System RIE. 4 Plasmatherm SLR 720 RIE Etcher Plasmatherm 720.
$
195000.00
Buy It Now
Condition: Used
Location: China
2003 Oxford PlasmaLab System 133+ RIE Reactive Ion Etcher. OXFORD Plasmalab 133 RIE (CL). Serial No : 417718. Load Lock with turbo pump. Chiller, Pump ... moreare not included. 3 PlasmaTherm 790 Series Reactive Ion Etching Plasma Enhanced System RIE.
$
195000.00
Buy It Now
Condition: Used
Location: China
2004 Oxford Plasmalab 133+ RIE CL Reactive Ion Etcher. OXFORD Plasmalab 133 RIE (CL). Serial No : 417728. RIE set up for GaN Etch. 3 PlasmaTherm 790 Series ... moreReactive Ion Etching Plasma Enhanced System RIE.
1
 In 

Former Listings  
 
Plasma-Therm Inc.AMNS-3000E Reactive Ion Etch System w/RF Controller and PS L140
Used Plasma-Therm Inc. AMNS-3000E Reactive Ion Etch System with RF Controller and Power Supply. Including(1) APS/PST, 1) MPS-1, 1) APS-3 Programmable Sequencer, 1) APCS-3(1) AMNPS-1, 1) RF Plasma Products HFS 3000 D, 1) Vacuum General 78-6 Pressure Indicator, 1) Vacuum General 78-2 Throttle Valve Control, 1) Vacuum General 77-4 Gas Ratio Flow Control. 115V 50/60Hz. Vintage 1979. Directive for Customer Service Questions: During business days. We will make every effort to respond to your emails as soon as possible. If you have any questions about this item or other items in our list, please contact us prior to bidding. Over the weekend or holidays, the questions will be answered in the first opportunity afterward. Sold As-Is. Where-Is: Most of the items listed were used in one form or another in our laboratory for different research and development ... moreprojects. And are now sold due to the termination of these projects. At this stage we are not able to completely test and calibrate the items, therefore, all items are sold as-is. Where-is, without warranty or guarantee. Unless specified in the listing. All sales are final. Please ask all pertinent questions regarding the condition of the item before you make your purchase. As your selection may prohibit other customers from bidding. The operation of the items is the customer’s sole responsibility, and he/she must have the professional skills to safely operate them. We reserve the right to refuse a return based on customer not fully understanding the item description and the proper way of operation or changing his/her mind. DOA or Incorrect Product: All items described to be in operational condition but received Dead on Arrival(DOA) can be returned for replacement or refund(when no replacement is available) Only if we are notified within 7 days of receipt of product. Compensation will be provided upon actual return receipt, physical examination, testing, and serial verification of the item and all sent accessories. If any one of these criteria is not met,
Trion Technology Phantom RIE Reactive Ion Etch Head Unit Chamber
Trion Technology Phantom RIE Reactive Ion Etch Head Unit Chamber
MULTIPLEX STS RIE Reactive Ion Etch Plasma Etch SURFACE TECHNOLOGIES SYSTEMS
You are viewing a System Surface Technology Systems"RIE" Reactive Ion Etch Plasma Etch System. Comes with. Balzers/ Pfeiffer TPH Pump, Balzers/ Pfeiffer TCP 380 Pump Controller, ENI ACG-10B RF Generator, ENI ACG-3 RF Generator, LYTRON RC Recirculating Chiller, Inficon IG3 Vacuum Gauge, Circuit Breaker/ Isolation Box, RackMount PC with STS software installed, System was recently removed from service due to company downsizing. System was removed in working condition. But we in no way can warranty this. Please Email us with any questions. We will have everything professionally crated for shipment and we will provide freight to anywhere in the continental US free of charge. Posted with
Oxford 80 Plus PE/RIE PE/RIE Compact Plasma Etch & Reactive Ion Etch RIE System
Oxford 80 Plus PE/RIE PE/RIE Compact Plasma Etch& Reactive Ion Etch RIE System Inventory# 58509*Before purchasing this system. Please note that the refurbishment process does not begin until the equipment has been purchased. Please contact us for lead-time. PE/RIE Compact Plasma Etch and Reactive Ion Etch RIE System. Configured for optimal gas conductance at the wafer maximizing etch rate and uniformity. Process control via PC controller. System can be used for plasma etch or reactive ion etch. ENI ACG10B 1000W 13.56 MHz RF generator. Pfeiffer turbo pump. Includes roughing pump. Six MFC gas inputs on gas box. Previous gases used: O2. Ar, C2F6, CF4, SF6, CHF3. 208V. 3 Ph, 60 Hz. Can be sold in untested as-is condition. Or fully checked out and refurbished by one of our technicians, with our standard right to return and 3 month parts warranty. ... morePlease contact us directly for as-is pricing. Please contact us for additional information on this system. Including lead-time, or for any questions via eBay mail or at phone# 732) 863-9500. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Please contact us to discuss suitable payment arrangements. BID SERVICE has been meeting the equipment needs of the Semiconductor and Scientific communities for the past 30 years. Our expert refurbishing services. Demonstration capabilities, and broad selection of inventory add up to value for our customers. 2p5ddv.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B26rk%3E2p5ddv-14152466bb8-0xf9-
Plasma Therm Model PK 1241 PE/RIE Dual Plasma & Reactive Ion Etch System
This auction is for a Plasma Therm Model PK 1241 PE/RIE dual plasma and reactive ion etch system. As you can see from the pictures. This unit is in clean condition with minimal wear. The main condition issues with this machine are: 1) Missing wheel caster Chipped formica top Missing gauge/dummy plate Missing side panels I lack the electrical power requirements to test this unit so full functionality is unknown. Feel free to contact me with any questions. Thanks for looking and happy bidding! Powered by The free listing tool. List your items fast and easy and manage your active items. 2p5ddv.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B26rk%3E2p5ddv-1432ef8826c-0xe2-
Oxford Instruments Plasmalab 80 Plus Reactive Ion Etch RIE System
Oxford Instruments Plasmalab 80 Plus Reactive Ion Etch System Model Plasmalab 80 Plus Specifications: Process: Reactive Ion Etch Wafer size: 200 mm maximum Controller type: PC Controller Software revision level: PC2000 Version 1.7A Number of gas inputs: 3 Process gases: CF4. O2, Air Gas Pod: 6 gas inputs(3 inputs in use) RF Generator Dressler HiLight 133- 300 Watt. 13.56 MHz Automatch Text: Oxford OPT AMU Neslab RTE 7 Chiller Vacuum Pump Package: Leybold WSU 251 and Leybold D40BCS Power requirements: 208 V. 26 A, 50/60 Hz, 3 Phase System weight: approx. 380 lbs. This item has not been tested. The equipment we sell is made fully functional and sold with our 90-day parts warranty. Unless stated otherwise. Once an order is received the item will go through our testing/refurbishing process. Please contact us for lead time. Potential buyers are ... moremore than welcome to visit our facility to inspect the equipment prior to purchase. Note that items will not be operational in this instance. We also encourage our customers to visit our facility for the final source inspection and sign off on equipment being purchased. Please contact us at 518-346-8347 or email us at cbi@capovani.com for additional information. Shipping and handling costs are the responsibility of the buyer. We cannot quote actual shipping and handling costs. Until the item is prepared for shipment. We sell internationally. However some equipment cannot be exported to certain countries, companies or individuals, due to US export control regulations. We are unable to accept credit cards or PayPal for purchases of this size. Payments can be made via wire transfer or via company check. With approved credit. Payment arrangements can be discussed on a per transaction basis. Please ask any questions prior to bidding. By placing a bid you agree to all stated terms. Thank you for looking!
TEL TOKYO ELECTRON TE 5480 Nitride Plasma Reactive Ion Etch
2181 Up for sale is this Tel Tokyo TE 5480 Plasma etcher. In excellent condition. This will be sold as-is. Where-is. Currently located in our Germany warehouse. Please ask if you have questions. No reasonable offers refused! Thanks for looking and happy bidding! Manufacturer: TEL TOKYO ELECTRON Model: TE 5480 Type: Nitride Plasma Reactive Ion Etch Version: 150 mm Condition: excellent Vintage: Dec 1992 Quantity: 1 Comments: Serial number 4K2279 Deinstalled and barrier bagged. Warehoused. Located at the warehouse of SDI in Germany Complete and operational when deinstalled. Tokyo Electron TE-5480 Nitride etcher Deinstalled: 25-Nov-1998 Vintage: 21-dec-1992 Components included: 1.Mainframe. Configured for dual loading of 6 inch cassettes. Singel nitride fitted process chamber MFC Configuration: STEC 4400MC N2 200 sccm STEC 4400MC O2 100 sccm ... moreSTEC 4400MC SF6 200 sccm STEC 4400MC He 1 slm STEC 4400MC CF4 200 sccm STEC 4400MC CHF3 200 sccm TYLAN PV104C He 20 slm Daihen Dauma 10SA with Daihen UIM-1-T1 display unit TYLAN PC73 HeLIUM BACK PRESSURE MONITOR 2.Electronics rack 3.Turbo pump. Seiko Seki MG-STPH600C-T52A 4.Pump controller rack with Seiko Seki MG-STPH600C-T54 turbo pump controller, 208V 3 Phase 12 KVA 190kg total power consumption. 5.Loadlock pump Edwards Drystar CDP40 Model A52742934 s/n 6131 V=200 3 phase 50/60 Hz 3.5 KVA 6.Chamber backing pump Edwards CDP80 with Edwards gate valve model GVI 100M 7.Power supply Transformer, I/P 208VAC 3 PH O/P 200VAC 5A dimensions 31cm x 41cm x 42 cm(Height) 8. Chiller SMC Model INR-341-61A Triple Chiller Voltage= 200 3 phase 50/60 Hz 25A 350 kg dimensions 72 cm x 92 cm x 175 cm(height) RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf54%3E-146c01a6a3e-0x10e-
PlasmaTherm Reactive Ion Etch system
PlasmaTherm 700 REACTIVE ION ETCHER RIE SYSTEM RF Generator Type HFS 500E PVSP PVC-3 Throttle Valve Control MFC-3 Mass Flow Control APCS-3 PC-1 The Unit has not been tested. might work being offered AS IS with not warranty
Alcatel AMS-200 DRIE (Deep Reactive Ion Etch) system
Alcatel AMS-200 Deep Silicon ICP Etcher Description: Alcatel AMS-200 DRIE(Deep Reactive Ion Etch) system Year of manufacture: 2003 Serial Number: M93734 Inductively Coupled Plasma configuration Ceramic Clamp type Wafer size: 6 inch MKS Spectrum 3000W 13.56MHz RF Generator ENI 500W 380 KHz RF Generator Gases: SF6. C4F8, O2, O2 Process: Deep Silicon Etch Removed from operation in Jan 2015 at a MEMs fab. Refurbished system is available for purchase from GCE Market. See the following link: Pricing: $145K This unit is sold: As-Is-Where-Is. No Warranties expressed or Implied. This is a COMPLETE system. Was removed from full operation on 6 through 9 January 2015. Can provide complete Decommissioning Audit Report with photos upon request. Please note: this is a most complex system and contains many system and sub-systems. I am not an expert of this ... moretool. For those who are most serious about this tool a visit from persons familiar with the tool would be in order. Further Information Call: 856 520 0314 Eastern Time g.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bjw%60hquvg%3Eg-14b0dd3affb-0x113-