Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
160.00
Buy It Now
$12.32 Shipping
Condition: Used
Location: Sacramento, United States
Don't miss out on the opportunity to take your operation to the next level with the March PLASMOD Plasma Etcher/Cleaner/Barrel Asher.
$
3200.00
Buy It Now
Condition: Used
Location: Vista, United States
Technics PE II Planar Etch II Plasma Etcher Asher with 13.56 MHz RF Generator Description Technics PE II Planar Etch II Plasma Etcher Asher with 13.56 ... moreMHz Model 750 RF Plasma Generator. The Technics PE-II is a plasma-induced etcher that can be used for photoresist ashing. Surface cleaning, and surface treatment and/or etching of various materials. This is equipped with a RF energy power supply(13.56 MHz) and is used with process gas. The RF power is variable allowing the operator to tailor the plasma density to suit the etching requirements. The system is equipped with a heater and thermocouple to monitor the temperature. This item requires freight shipping please contact us for a quote prior to purchasing. Manufacturer Technics Manufacturer Part Number PE II Item/Ship Weight 153 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item may require palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 44235 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc.
$
775.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Brooks Automation ROBOT ORBITRAN MODEL 6100-88. REMOVED FROM MATRIX 105 PLASMA ASHER. Logansemi 2547602424. Available for inspection in our Temple, Texas ... morewarehouse.
$
2499.00
Buy It Now
$1880.00 Shipping
Condition: Used
Location: Israel
2008 pva tepla TECHNICS 100 plasma system Asher System Etcher Cleaner + Pump. Downstream microwave frequency O2 plasma asher for photoresist descum. 2.45 ... moreGHz regulated magnetron, 0-300W power. Max Short-Current Circuit: 16 A.
$
775.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Gasonics A-2000LL PLASMA ASHER PLATED LAMP TRAY REFLECTOR. Logan Technologies 2547602424.
$
200.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
P/N AX3008. ASTEX MICROWAVE WAVE GUIDE 2.45 GHZ.
$
925.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
OPERATOR INTERFACE MODULE. Matrix MODEL 105 PLASMA ASHER. LOGANSEMI 2547602424.
$
28500.00
Buy It Now
Condition: Used
Location: Palisades Park, United States
Tegal 965 Plasma Asher. Model: Tegal 965 Plasma Asher. This unit was fully operational when facility closed. We are minutes from Manhattan, and local ... moreto all 3 New York City Area Airports. Clean Chamber.
$
3250.00
Buy It Now
Condition: For parts or not working
Location: Westminster, United States
BRANSON L3200 PHOTORESIST STRIPPER.
$
8830.99
Buy It Now
$245.50 Shipping
Condition: Used
Location: Canada
$
37750.00
Buy It Now
Condition: Seller refurbished
Location: Palisades Park, United States
March PX-1000 Plasma System Fully Refurbished with 6 Month Warranty Quick Shipment Possible. Also available with 1000 Watt RF Generator A Video of this ... moresystem in operation is available on request. The listed price is for a base unit consisting of: RFX600 RF Generator- 600 watts One set of Power and Ground Shelves. All standard system features. 2 MFC Controllers Options available include: 1000 Watt ACG10B RF Generator Additional Shelf Sets Additional MFC Controllers Vacuum pumps for Oxygen service. Or Hydrocarbon pumps for non- Oxygen Plasma processes. Vertical door system Please note: Other plasma systems are available. Including smaller March PX-500 and PX-250 systems, as well as Plasma Systems from other manufacturers. Over 50 Plasma Systems in inventory. For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for many years You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our facility is located in the metropolitan NYC area. Customers are welcome to visit for acceptance testing/inspection. Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount.
$
225.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Gasonics PLASMA ASHER A06-005-01 QUARTZ DIFFUSER. Logan Technologies 2547602424.
$
225.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Matrix MODEL 105 PLASMA ASHER. LOGANSEMI 2547602424. Available for inspection.
$
239.99
Buy It Now
$54.86 Shipping
Condition: For parts or not working
Location: Gilroy, United States
3 UNITS INCLUDED AS SHOWN. THESE ARE PARTS TO A LARGER ASSEMBLY SO THEY ARE LISTED FOR PARTS OR REPAIR AS SHOWN. I CANNOT GIVE ANY WARRANTIES ON THEM. ... moreIF IT IS NOT SHOWN THEN IT IS NOT INCLUDED.
$
2399.95
Buy It Now
$499.00 Shipping
Condition: For parts or not working
Location: Sacramento, United States
We work hard to include a lot of pictures of each item. It is also subject to change during peak periods.
$
1150.00
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Temple, United States
Matrix MODEL 105 PLASMA ASHER. Available for inspection.
$
325.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Gasonics A-2000LL PLASMA ASHER VACUUM GAUGE AMP PCB. P/N A90-002-01 REV F.
$
275.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Gasonics PLASMA ASHER A06-012-02 QUARTZ.
$
1250.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Matrix MODEL 105 PLASMA ASHER. INPUT MFC GAS MODULE. TYLAN FC-280 SAV - 5 SLM N2. USED, UNTESTED.
$
1800.00
Buy It Now
Condition: Used
Location: Fremont, United States
Tegal 415 RFG Plasma Asher. Working Condition!
$
5625.00
Buy It Now
Condition: For parts or not working
Location: Bosque Farms, United States
For us to check rates, we need the following info: 1. We are quick to respond and resolve. We do not have power cords to provide unless they are attached ... moreto the item or unless stated that they are provided.
$
285.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
$
215.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Gasonics PLASMA ASHER A06-002-01 QUARTZ WINDOW.
$
650.00
Buy It Now
$43.38 Shipping
Condition: Used
Location: Morgan Hill, United States
Subject to prior sale without notice.
$
2003.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
GAE Dual Coupler WR430 Part No: GA3107. Hitachi Magnatron Part No: H3862. Removed from a Axcelis Fusion ES3 Microwave Plasma Asher System. This >Axcelis ... moreFusion ES3 is used working surplus. The physical condition is good, but there are signs of previous use and handling.
$
1600.00
Buy It Now
$300.00 Shipping
Condition: Used
Location: Miami, United States
TEGAL Model 211 Plasmaline Plasma Etcher/ Asher with Model 211 RFG Power Supply*Powers Up! ~14 Day Right of Return~ Because of the Size& Weight of ... morethis item. Please NO INTERNATIONAL BIDDERS. S/N 2110298(both) Please note: This item is in GOOD/FAIR cosmetic condition. Unit has some scratches and chipped paint on the outer casing. See pics. This Unit was pulled from a working micro-electronics lab. It powers up and all of the buttons and dials respond when pressed but is out of our area of expertise thus we do not know how to properly test it. You are welcome to send questions about the item and if you are a local buyer you are welcome to come and inspect it prior to buying/bidding. Please call us for hours of operation. 626-472-7500. We do not have any accessories for this unit; only what is pictured. Unit carries a 14 Day Right of Return. Please Note: The total weight of this item including packaging is: 108 lbs. We will palletize this item for shipping. We will This item carries a 14 Day Right of Return provided that our warranty seals are intact and there is no evidence of user misuse; in order to allow the buyer time to confirm the unit is in working condition. And ONLY IF the item listed above is not as pictured or described in the listing. Items are as pictured below. If you are unsure about the completeness or condition of an item. Please contact us before placing your bid! Please read all of our terms of auction below before placing a bid. Terms of Auction: Payment arrangements are to be made within 3 days of purchase of item. You will receive an email from eBay with payment instructions and a place to tell us how you would like to complete your purchase. A courtesy Payment Reminder will be sent on the 5th day of non payment. Item will be placed back upon eBay if payment is not received within 8 days of the closing of the item. Only items pictured above are included in the listing. There are no manuals or power cords included unless otherwise noted in the picture or description. All items are sold
$
350.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Gasonics A-2000LL PLASMA ASHER DISPLAY DECODER PCB. Logan Technologies 2547602424.
$
2650.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Matrix MODEL 105 PLASMA ASHER. CHAMBER ASSEMBLY.
$
200.00
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Coupeville, United States
If you are satisfied with the products.
$
9999.99
Buy It Now
$350.00 Shipping
Condition: Used
Location: Milton Freewater, United States
$
1175.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Gasonics A-2000LL PLASMA ASHER REAR DISPLAY PANEL ASSEMBLY. Logan Technologies 2547602424.
$
775.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
PROCESS INTERFACE PCB. Matrix MODEL 105 PLASMA ASHER. Available for inspection.
$
650.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Matrix MODEL 105 PLASMA ASHER. TRANSPORT INTERFACE PCB.
$
325.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Matrix MODEL 105 PLASMA ASHER. LOGANSEMI 2547602424.
$
75.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Gasonics PLASMA ASHER PLASMA FAILURE DETECT PCB.
$
75.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
275.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Gasonics PLASMA ASHER A06-012-01 SHOWERHEAD QUARTZ. Logan Technologies 2547602424.
$
250.00
Buy It Now
Condition: New – Open box
Location: Morgan Hill, United States
$
460.56
Buy It Now
$16.02 Shipping
Condition: Used
Location: Boise, United States
SN 57016-0606-0004. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole ... moreJackson at getsparesllc. (See Hours of Operation, above).
$
245.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
VACUUM GENERAL MODEL CMLB-11S01 BARATRON. LOGAN TECHNOLOGIES, LP 2547602424.
$
245.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
$
25.00
Buy It Now
$40.91 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
7645.00
Buy It Now
Condition: Seller refurbished
Location: North Billerica, United States
TEGAL Model 211 Plasmaline Plasma Etcher/ Asher with Model 211 RFG Power Supply*Powers Up! ~14 Day Right of Return~ Because of the Size& Weight of ... morethis item. Please NO INTERNATIONAL BIDDERS. S/N 2110298(both) Please note: This item is in GOOD/FAIR cosmetic condition. Unit has some scratches and chipped paint on the outer casing. See pics. This Unit was pulled from a working micro-electronics lab. It powers up and all of the buttons and dials respond when pressed but is out of our area of expertise thus we do not know how to properly test it. You are welcome to send questions about the item and if you are a local buyer you are welcome to come and inspect it prior to buying/bidding. Please call us for hours of operation. 626-472-7500. We do not have any accessories for this unit; only what is pictured. Unit carries a 14 Day Right of Return. Please Note: The total weight of this item including packaging is: 108 lbs. We will palletize this item for shipping. We will This item carries a 14 Day Right of Return provided that our warranty seals are intact and there is no evidence of user misuse; in order to allow the buyer time to confirm the unit is in working condition. And ONLY IF the item listed above is not as pictured or described in the listing. Items are as pictured below. If you are unsure about the completeness or condition of an item. Please contact us before placing your bid! Please read all of our terms of auction below before placing a bid. Terms of Auction: Payment arrangements are to be made within 3 days of purchase of item. You will receive an email from eBay with payment instructions and a place to tell us how you would like to complete your purchase. A courtesy Payment Reminder will be sent on the 5th day of non payment. Item will be placed back upon eBay if payment is not received within 8 days of the closing of the item. Only items pictured above are included in the listing. There are no manuals or power cords included unless otherwise noted in the picture or description. All items are sold
$
24.91
Buy It Now
$10.95 Shipping
Condition: New
Location: Des Moines, United States
If you have any item you would like us to keep an eye out for, let us know. We do our best to ensure the safety of your item. Always try this before calling. ... moreOur office phone number is 111 111 1111.
$
12249.99
Buy It Now
Condition: Used
Location: Fullerton, United States
Unable to test unit due to missing plug connector on power cable. Pictures are of the actual unit. Power cable is missing the end connector. The item ... moreis sold AS-IS Where is. We allow local pick up.
$
100.00
Buy It Now
$70.00 Shipping
Condition: For parts or not working
Location: Gilroy, United States
Sold as-is, for spare parts. 95020 (408)886-3700. "The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state ... moreand local regulatory agencies.
$
1000.00
Buy It Now
$200.90 Shipping
Condition: For parts or not working
Location: Gilroy, United States
"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies.
$
450.00
Buy It Now
$43.38 Shipping
Condition: Used
Location: Morgan Hill, United States
Subject to prior sale without notice.
$
1200.00
Buy It Now
$70.35 Shipping
Condition: Used
Location: Morgan Hill, United States
Matrix 303 Matrix 105 (?) Plasma Etcher Plasma Asher Bottom Of ChamberUsed, not tested. We sell it at as is, where is . No warranty.no return.Subject ... moreto prior sale.ID: AWS-001Contact us by email if you have any questions.
$
795.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
AW-105-0019 REC C Allwin21 PCB For Matrix 105 106 101 102 103 104 205 302 303 403 205 plasma asher descum equipment The price is for one pieceID-D-DV-3-11Condition: ... moreUsed. Not tested.We sell it at AS IS,WHERE IS without warranty, return.Check our website for our main products: plasma asher equipment, plasma etcher equipment, ICP, RIE, Bosh Process equipment, DRIE, PECVD, ALD thin film equipment, rapid thermal processing equipment, high vacuum evaporator equipment, sputtering equipment , wafer probe, HP4062 tester, metrology. Appreciate your time.This Item is subject to prior sale without notice.
$
45.00
Buy It Now
$40.91 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
29500.00
Buy It Now
Condition: Seller refurbished
Location: San Jose, United States
ENI ACG-10B 1000W Rf 13.56mhz generator. Low pressure gas auto-shut off (see small box on top of asher) with 2 gas pressure sensors. 500 SCCM N2.
$
275.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Gasonics A2000-LL PLASMA ASHER WAFER GUIDE.
$
1251.58
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Axcelis 572881 is used working surplus. The physical condition is good, but there are signs of previous use and handling. The internal cards part ... morenumbers may vary but functionally similar. Removed from a Axcelis Fusion ES3 CES3590 Microwave Plasma Asher System.
$
21500.00
Buy It Now
Condition: Used
Location: Freehold, United States
Batch system for plasma cleaning or etching. Not standard horizontal mounting. Two gas inputs. Can accommodate multiple shelves.
$
4000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
$
650.00
Buy It Now
$68.93 Shipping
Condition: Used
Location: Morgan Hill, United States
PN L-10CS, O-Ring, Door Seal for branson, USD280.00 each. PN 055-032-01, O-Ring Gas In, USD5.00 each.
$
1275.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Gasonics A-2000LL PLASMA ASHER FRONT DISPLAY PANEL ASSEMBLY, FLUSH MOUNT. Logan Technologies 2547602424.
$
275.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
REMOVED FROM MATRIX 105 PLASMA ASHER. VACUUM GENERAL MODEL CMLB-11S01 BARATRON.
$
16950.00
Buy It Now
Condition: Used
Location: Carpinteria, United States
March PX-500 Plasma System Fully Refurbished with 6 Month Warranty It can be made ready for very quick shipment. The listed price is for a base unit consisting ... moreof: RF Generator- 600 watts- Typically an RFX 600 as seen in separate photo. Note. A 300 watt power supply is shown in the photo with the PX-500, but it will be replaced with a 600 watt unit. One set of Power and Ground Shelves. All standard system features. 2 Flowmeter Gas Controllers Options available include: Additional Shelf Sets Vacuum pumps for Oxygen service. Or Vacuum Pumps with Hydrocarbon fluid for non- Oxygen Plasma processes. Please note: Other plasma systems are available. Including larger March PX-1000 and smaller PX-250 systems, as well as Plasma Systems from other manufacturers. Over 50 Plasma Systems in inventory. For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for over 35 years. You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our 50,000 square foot facility is located in the metropolitan NYC area. Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount.
1 2 3 4 5 6
 In 

Former Listings  
 
YIELD ENG. YES-R3 ELECTRON FREE / RIE PLASMA ETCHER ASHER & COMDEL GENERATOR
YIELD ENG. YES-R3 ELECTRON FREE/ RIE PLASMA ETCHER ASHER& COMDEL GENERATOR Made in USA by Yield Engineering Systems. Inc. YES) of San Jose, California. YES Plasma Etcher Asher Cleaning System. Model# YES-R3. Serial# 88569. Includes Comdel Low Frequency Power Supply. Model# CLF500. Powers up and appears to be in good working order. Yield Engineering Systems. Inc. is known worldwide for supplying quality process equipment to Semiconductor and related industries, including MEMS, Medical, BioSensor, Microarray, Nanotech, Hard Disk Drive, and more. YES manufactures equipment for a variety of processes including vacuum curing. Plasma cleaning, resist removal, surface modification, anti-stiction coatings, silane chemical vapor deposition and other specialized applications. YES has proven to withstand the test of time with products that increase ... moreyields, extend performance, and improve processes. The R3 can be used in Active. Electron-Free or RIE modes. It is controlled by a Sequential Microprocessor which allows up to 90 separate,one or two gas programs to be inputted and stored in memory. Two Plasma Gas Inputs are provided as standard with an optional third plasma gas input. A Backfill Input is provided as standard. Facts. Features, and Specifications: 325 Square Inch Capacity Plasma Uniformity across Planar Sample Shelves 12" x 12" Sample Trays Operating Settings are Independent of Load Digital Programmable Process Control. Capacitive. Parallel Plate, Downstream, Charge-Free Plasma. Power: 115V/ 60htz 1.5 amps 175 watts Overall Dimensions are 22" x 24" x 29" tall. Approximate UNcrated Weight: 250 lbs. This item will need to be crated for shipping. For Crating. You can call Tim at Double J Packaging. 818-767-7756. For palletizing, crating& shipping cost. There will be no loading fee. High bidder is responsible for all freight cost, third party company charges, and is to arrange shipping with carrier of choice. You can contact Tony at FreightQuote 1-800-323-5441 Ext. 1383. PLEASE NOTE: Un
Mattson Spindle Aspen II CVD 262 Ash Plasma Asher Pneumatic Assembly / Warranty
Payment Policy Brand New Sony CyberShot 8 MP Di MATTSON SPINDLE ASPEN II CVD 262 MICROCHIP/ ASSEMBLY Attention All Buyers If there is anything wrong with the item that you have purchased. Please do not leave negative feedback and do not open any disputes with eBay or PayPal. Just contact us directly and we will fix the problem quickly. Item Description MATTSON SPINDLE ASPEN II CVD 262 MICROCHIP/ ASSEMBLY Used/System Pull Condition Microchip Mattson Spindle Part no. 262-00000-00 Pulled out of Mattson Aspen II CVD System. Item Condition New Condition Actual Picture of the item is provided. What you see in the picture(s) is what you get Note: In Quantity Auctions. The serial number of the item that you receive may vary from the item shown above. Payment Policy 1) PayPal: We accept PayPal from all verified accounts. Note: For large payments or ... morepayments from some foreign countries we may require other electronic means of payment. 2) Credit Cards: We accept credit card payments through PayPal only. 3) Checkout: All US buyers should follow eBay checkout process to receive the proper invoice. International buyers should contact us to for an update on the shipping costs before they can follow eBay checkout. 4) Sales Tax: Californian buyers pay a Sales Tax of 8.625% unless a valid Resale Certificate or Tax exemption Certificate number is remitted by email or fax prior to submitting payment. 5) Checkout: An automated Non-Paying Bidder Alert will be filed if payment is not received in 4 days from the end of the auction. 6) Questions: For any questions. Please contact us directly from the auction page click on our ID(supertechshop) and then click on(Contact Seller) link. Shipping& Handling Policy 1) Handling Time: We ship packages in 1 business day after receiving payment. However larger items and quantity orders take more time to pack. 2- Shipping Method: Our standard carrier is UPS for all domestic and international orders. However we may prefer to ship items through other carriers at our own discretion. US Continental
MCS Mercator Control Systems LF-5 Plasma Asher System
This Sale is for an MCS Mercator Control Systems LF-5 Plasma Asher System. Very Clean unit from an R&D Lab. Model: LF-5 Plasma Asher System· Part Number: 102-0180-006· Volts: 120 50/60Hz SOLD WITH A 14 DAY RIGHT OF INSPECTION Ships Motor Freight securly packed on a skid. Call or E-mail if you need help with freight cost 407-330-1960 Please CLICK HERE for our new eBay Manuals Store. First Choice Manuals" INTERNATIONAL BIDDERS SHIPPING COST NOTICE: Please request a shipping quote directly from us. EBay's shipping calculator may be quoting incorrect rates. Please email us for a discounted shipping quote prior to bidding on an item. Our office hours are 9-5 M-F Eastern Standard Time. U.S. Terms& Conditions Emails Please use Ebay’s Message System to communicate with us. Ebay’s message center provides for the most effective ... morecommunication. Emails sent directly can be overlooked or filtered by our server which only causes frustration to all parties. Answering Questions Avitar’s business hours are Monday through Friday from 9:00 a.m. 5:00 p.m. Eastern Standard time. We will answer questions during those hours prior to the end of the auctions. Questions received over the weekend or on holidays will be answered Monday morning. Shipping Notes Shipping costs are to the US(Lower 48 States) Local pick-up is available by appointment only. Alaska and Hawaii residents please e-mail for a shipping quote before bidding. Shipping& Handling All items are professionally packed to arrive undamaged. UPS is our standard domestic carrier. International bidders please note that we will use USPS Priority mail for smaller. Less expensive items. However. USPS has both size and weight restrictions as well as insurance limits that vary from country to country. Any item that exceeds the aforementioned parameters will be shipped via Federal Express. We will pass along our substantial Federal Express discount and are happy to quote shipping cost to potential bidders prior to bidding. Please be aware that USPS takes lo
Gasonics Plasma Asher 3010 Microwave Chamber and Match Assembly
Gasonics 3010 Plasma Asher Microwave Chamber and Match Assembly Will ship Fedx ground. So please included your phone# No shipping. FOB our dock in Temple, Texas We'll skid at no charge. Crating to be paid by customer. Logan Technologies. LP 254-773-4070
Branson Power Distribution Box for Model 3100 Plasma Barrel Asher
This auction is for a Branson Power Distribution Box for a Model 3100 Plasma Barrel Asher. Auction inslcudes the Dist. box as well as the various cords/cables pictured. As you can see from the pictures. This unit is in nice, clean condition with minimal wear. Unit powers up but I lack tthe equipment toi fully test it, sold as-is. Feel free to email me with any questions. Thanks for looking and happy bidding! Powered by The free listing tool. List your items fast and easy and manage your active items.
Gasonics Plasma Asher A-3010 Digital Lamp Control Module
Gasonics Plasma Asher A-3010 Digital Lamp Control Module Untested. Will ship Fedx ground. So please included your phone# Quoted shipping price is for US customers only. We'll ship worldwide. But shipping cost will be determined at the time of purchase. Logan Technologies. LP 254-773-4070.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf54%3E-13f7a3d65f9-0x101-
Plasma Technology Plasmalab Micro-P (µP) Reactive Ion Etching System; RIE/Asher
Plasma Technology Plasmalab Micro-P(µP) Reactive Ion Etching System T The unit was removed in working condition but the ACG-3 RF Generator was missed during the shipment. The chamber is in excellent condition.The interconnecting cables connect the controller to the main body. There are no pumps included T The Model Number: Plasma µP RIE S The Serial Number: SE8 9110# Advanced Energy Control Panel. Part Number: 4013-014-A, Serial Number: 7280 The control Panel works well and was tested by the seller as shown in the photos XuMatic Inc is a high technology company dedicated to developing thin film solar cells technology. Semiconductor equipment and solar materials to produce solar energy. Its mission is to design and implement new solar energy equipment and materials. Including copper indium gallium selenide(CIGS) thin film deposition ... moreprocess enabling lower solar cell prices and wider adoption of solar power. Surplus Equipment is sold as is and Xumatic Inc shall not be liable for any use of misuse of this product
YES Yield Engineering Systems Downstream Microwave Plasma Asher CV-108
YES Yield Engineering Systems Downstream Microwave Plasma Asher Model YES-CV108. Buyer is responsible for all shipping charges and arrangements including packaging and/or crating fees. If you have any questions. Please call: 925)447-9136. Click here to browse my ebay store.
Gasonics Plasma Asher 3010 Floppy Drive, P/N 16321-01D
Gasonics Floppy Drive assembly"L-3510 or A-3010" P/N 16321-01D TEAC# 19307764-29 Untested. Will ship Fedx ground. So please included your phone# Quoted shipping price is for US customers only. We'll ship worldwide. But shipping cost will be determined at the time of purchase. Logan Technologies. LP 254-773-4070 ag6 2.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28c%3Eag6%3E2-13fc42b4a81-0xf6-
SPI SUPPLIES Plasma Prep Plasma Etcher Plasma Asher Plasma Cleaner
THIS IS A RARE ITEM ON EBAY. CERTAINLY WON'T LAST LONG! SPI Plasma Prep a compact. bench-top" sized plasma etcher, which can also serve as a plasma asher or even a plasma cleaner, uses dry plasma chemistry to reveal hidden detail for SEM and TEM analysis. Used but in great working condition. The shell of the power switch button is missing and that is the only issue we know. GUARANTEED WORKING OR YOUR MONEY BACK!
YIELD YES-CV108 DOWNSTREAM PLASMA ASHER RESIST STRIPPER GENMARK ROBOT & CONTROL
YOU ARE BIDDING ON ONE YES YES-CV108 DOWNSTREAM PLASMA RESIST STRIPPER GENMARK ROBOT AND CONTROLLERS. EXACTLY WHAT IS PICTURED IS WHAT YOU WILL RECEIVE. MORE PHOTOS ARE AVAILABLE UPON REQUEST(INSIDE REFERENCE 2/27/14) THIS IS USED SURPLUS FROM A SHUT DOWN FACILITY AND IS GUARANTEED WORKING AND NOT D.O.A. IF YOU HAVE ANY QUESTIONS PLEASE CALL 480-200-0156. OR HIT ASK THE SELLER A QUESTION. TW30) SHIPPING WILL BE FOB OUR DOCK IN TEMPE AZ 85281 AND WILL SHIP WORLDWIDE. PLEASE INQUIRE FOR SHIPPING COSTS. YOU ARE NOT GETTING FREE SHIPPING! International Buyers Please Note: Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying. We do not ... moremark merchandise values below value or mark items as"gifts" US and International government regulations prohibit such behavior. Check out my! Be sure to add me to your!
Axcelis Fusion ES3 Microwave Plasma Asher Two 300mm Process Modules w/controller
Axcelis Fusion ES3 Microwave Plasma Asher Parts. Two 300mm Process Modules w/controller. These are being sold as is. As shown in photos. It is available for inspection. Please email for more photos. I will ship this to International Buyers. I can assist buyer with crating or skidding. But these services will be at the buyers expense. Payment is due within 5 days of the end of the auction. Payment can be made via PayPal or Wire Transfer. Buyer is responsible for all shipping and related costs. Please take a look at my other auctions. For questions please contact Bill at(208) 286-7810 or
Technics Plasma 100-E O2 Etcher Asher Cleaner Photo Resist Stripping 150mm OD
Technics Plasma 100-E O2 Etcher Asher Cleaner O2 ashing of organic residues and photoresists on III/V substrates 2.45 GHz 250W µW source O2 gas. CF4 planned Base pressure ~ 5 mTorr The timer on this unit only shows 348 hours! Condition is very clean. It appears to be in great condition. But we do not have the proper power requirements to power this on. Includes only what is pictured. Sold as is. Copied from various websites) Brief Description: The Technics 100-E is a Plasma Etcher/Asher for depotting of electronic devices. Plasma etching and photo resist stripping. Plasma is generated in a seperate plasma chamber from the process chamber. Samples can be treated directly in plasma chamber or in process chamber underneath. Brief Specifications: Plasma Chamber Size: Quartz with 150 mm O.D. Maximum Substrate Size: 125 mm diameter or 100 ... moremm square. Terms of Sale Buyer pays LTL shipping from 55107(continental US) Crating is available for an extra $100 if interested. Paypal usually ships within 24 hours. Other HI. PR, AK or international bidders PLEASE contact us before bidding. Thanks for your interest. Please email with any questions.
TEPLA AUTOLOAD 300 Microwave Plasma Asher/Etcher, WORKS
Tepla Auto-Load Model 300 Microwave Plasma Etcher/Stripper/Asher/Cleaner. OPERATIONAL We powered it up and lite a plasma past 1000 Watts. Available for inspection or demonstration. S/N 1171. 7/2002 vintage 2.45 Ghz. 0 to 1000 Watts Holds two 4" to 6" wafer carriers. Includes to 6" 150MM) Quarts Boats Does not include pump. No shipping. FOB our dock in Temple, Texas. We'll skid for free. Crating is extra. Logan Technologies. LP 254-773-4070
Branson IPC PVA TePla America, Box Plasma Etcher / Asher 11.5"W x 26"D x 11.5"H
RF Plasma Box Etcher 1000 Watts@ 13.56 Mhz Branson IPC/ PVA TePla America. See: http:www.pvateplaamerica.com/legacy/7102.php 1000 Watts@ 13.56 Mhz Custom Process Gas Inlets Etch tunnel 11.5"W x 26"D x 11.5"H Overall: 44" 111.8 cm) Tall. 25" 63.5 cm) Wide. 44" 111.8 cm) Deep. RF Generator: ENI OEM12AB-3. Included as Separate Free-Standing Unit. RF Match Box: Installed in Rear of Main Unit. Gas Inlets: Purge Gas. Gas 1, Gas 2. Gas Inlet Baratron Pressure Gages(shown in image) Required: Vacuum Pump. Gas Sources. Weight: 800 lb(363 kg) Manufacturer's Features and Specifications Manufacturer, Branson/IPC, Model, 7102, Etcher Type, Box, Rated Power Output, 1000 Watts, Number of Gas Inputs, Two Gas, RF Generator Model, ENI Power Systems-Model OEM-12AB-3, Panel Meters, Digital Accessories, MKS pressure transducers-Type ... more122A Other Information, RF Generator: 250 V. 20 A, 1 phase Exterior dimensions: 17"W x 16.5"D x 8.25"H Front panel digital display has CRT display Vacuum connection: KF 40 Etch tunnel dimensions: 11.5"W x 26"D x 11.5"H 3" diameter view port in door Exterior Dimensions, Width, 25.000 in(63.5 cm) Depth, 44.000 in(111.8 cm) Height, 44.000 in(111.8 cm) Weight, 800 lb(363 kg) Free Loading on your Truck Packing and crating at cost We will help you find shipping company if you prefer. Our surcharge to manage all Packing/shipping/insurance is $150 Please email for questions: ed.m@lasermotion.com 510-427-0115
Gasonic 2000LL Plasma Etch Asher System
Description: Gasonic 2000LL Plasma Etch Asher System. It is missing some parts when I bought. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | ... moreLam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
Branson IPC S2005-11020 Reactor Center & 2000C Controller Barrel Plasma Asher
Branson IPC S2005-11020 Reactor Center& 2000C Controller- SOLD AS SEEN IN PICTURES. But we ca n not guarantee. Our company personally removed the unit out of the cleanroom. S2005-11020 Reactor C enter- S/N. M90377- 1- 2000C Controller- S/N. 10001580- P/N. 07690-A Note: Unit does NOT come with an RF generator. Just the center and controller. ubidonline Item Number: 11 13-06 AR You are bidding on( 1) Branson IPC Plasma Barrel Etcher(rf generator NOT included) We make every effort to communicate all of the details to make an informed decision. However, verification and perceived value may vary. If a condition or features are provided, they are ONLY done so as a COURTESY. You as a buyer are expected to know what you are purchasing and understand that all items are sold“as is, where is, with all faults” The more informed you are, the better ... moreyour purchase decision. Since your needs are unique to you, your evaluations will be different from others. This item was purchased for resale and was NOT used by seller. This item can be previewed and inspected by appointment only at our location in Chatsworth. CA. If you have any questions, please call us at(818) 734-9043. Please ask ALL questions prior to bidding! Since I am not a dealer. This units is sold"As-Is" with"No Warranties" and"All Sales are Final" You may pay by personal/ company check. Cashier's check, or Pay Pal. Note: Merchandise will be held until funds clear. Buyer is responsible for ALL shipping& handling fees. Shipping Information: Pick-up location: Chatsworth. CA 91311 CUSTOMER PICK-UP PREFERRED Insurance Required: Varies on the selling price. Buyer releases Anthony Bertolino and/or Bertolino's. Bertolino Sales from any liabilities from this unit. All liabilities will be the responsibility of the buyer. Any problem with the item once received; please. Contact us first BEFORE leave your feedback. Merchandise must be paid for within 3 days. Please. Contact us for international shippin g. Happy Bidding! RcmdId ViewIte
TOK OPM-1250ALBM Plasma Asher Machine for 6 inch wafer
Description: TOK OPM-1250 ALBM Plasma Asher for 6 inch wafer processs. Removed from a shut down Fab of Misubishi Semiconductor America Inc. It include Quartz chamber. RF power supply 1000W 13.56 MHz, AC power box, connecter cables. Good condition see pictures. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal ... more903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
GaSonics Aura 1000 Plasma Asher - 3 Complete systems available.
Please contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. GaSonics Aura 1000 Plasma Asher Pleas e note: We have 3 systems remaining in inventory. Systems were operational when removed from service. Dry pump packages also available. C onfiguration: A99-002-06 S/N: 0892187A 208v/ 50Hz/ 20A. 3-Ph. Exter nal Dimension s: 32"w x 33" d x 29.5"h Normal 0 For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide. You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our facility is located in the metropolitan NYC area. Please note: for purchases of this size. We are unable to accept credit ... morecards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. 1?RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2B%3E%3B1%3F-1470188e308-0x10a-
TEGAL 965 PLASMA ASHER
Tegal Plasma Asher Model 965 TEGAL 965 PLASMA ASHER ALUMINUM CHAMBER FOR UP TO 6" WAFERS OPTIONAL: REBUILT DIRECT DRIVE PUMP FOMBLIN OIL or KRYTOX OIL PREP RECLAIMED FOMBLIN OIL or KRYTOX OIL We accept Purchase Orders from Universities and Colleges Optional: Direct drive pump Fomblin/Krytox prep(if pumping explosive gas) 1/2 Gallon reclaimed Fomblin/Krytox oil. See more at: Call us for details at 978-771-0481
Matrix 106 Plasma Asher/Stripper
Matrix 106 fully operational and up on current preventative maintenance. 6" wafers set up for two process gasses. Comes with Edwards 40 mechanical pump and assorted spare parts. Resources available for rigging and loading of tool out of fab onto carrier's truck.
Tegal / March PLASMOD 100 W Tabletop Plasma Reactor/Asher/Etcher System
Click Here. Double your traffic. Get Vendio Gallery- Now FREE! Tegal/ March PLASMOD 100 W Tabletop Plasma Reactor/Asher/Etcher Comes with a manual(may be for the same model but a different revision) and what you see in the pictures. If you don't see it. You probably wont get it. Specifications are from Tegal and may vary slightly due to upgrades. Options or revisions this unit may or may not have. The unit has been tested and is guaranteed to work. The Unit's Serial Number Tag Reads: Model Number: PMOD Serial Number: 1100177 Date: 11-81 Key Features: The Actual Chamber Size is about 6" deep and 4.5" internal diameter. The Actual Holder Size is about 5.75" deep and 4" internal diameter. 120 V. 50/60 Hz 0-100W RF Power@ 13.56 MHz, General Description: Tegal's PLASMOD is a tabletop plasma chemistry reactor designed ... moreto provide the scientific and educational community with plasma technology at a moderate cost. Tegal is able to provide such capability by engineering a simple to operate instrument which can perform repeatable plasma chemical reactions with a minimum of automation. All controls are manual; however. Where necessary, automatic monitors and controls take over to protect the equipment and the samples in the reactor. The PLASMOD comes quipped with an internally housed RF generator. RF power is transferred from a power amplifier directly coupled to the reaction chamber through a matched impedance network. A variable capacitor provides fine tuning control for matching the output impedance of the RF generator with the capacitive load of the reaction chamber. An audible alarm sounds whenever the impedance match goes out of specification. This also aids in tuning since the alarm-off"window" corresponds to the"in tune" state of the machine. Instrumentation for the PLASMOD consists of a power tuning indicator. The audible tuning alarm, and provisions for several optional attachments. These include facilities for an externally mounted pressure transducer, and a stri
March Instruments PLASMOD Plasma Asher/Etcher with GSM-200, WORKS
MARCH PLASMOD PLASMA ETCHER/ ASHER with GSM-200 Vacuum/ Gas controller. WORKS S/N 1214 4" Chamber. Manual Tuning Tube type. Up to 100 watts@13.56 Mhz Pump not included. Used. Available for inspection. Right of return, but intem must be returned in the condition in which left our location. Ship Fedx ground. So please included your phone# Quoted shipping price is for US customers only. Logan Technologies 254-760-2424
March Jupiter II RIE plasma system, March plasmod, Plasma Etcher, Plasma Asher
Rebuilt March Jupiter II RIE AE 600 Watt RF Generator. 13.56 MHz, solid state, Two Mass Flow Controllers. Automatic or Manual impedance matching, Timer, End Point Detector, Baratron Pressure Display. End Point Control. Aluminum Chamber, 4" 6" or 8” wafer capability. 75" material height limitation. Designed for use on table top or counter. System is: S/N 129. March Controller is: PCM2 S/N 1050, 600 watt RF generator is: AE RFX600 Includes all cords and cables. Fully rebuilt and tested. Sold with a 30 day warranty. Glow Research has taken over the support of several older Nordson March Plasma systems. This allows us to provide warranty support and rebuilding of these systems. Please email customerservice@glowresearch.org for more information.
TEGAL 515 PLASMA ASHER With Warranty
TEGAL 515 PLASMA ASHER Manufacturer: Tegal TEGAL 515 PLASMA ASHER ALUMINUM CHAMBER ID 6X6X10" WIDE Aluminum Chamber for Up To 5" Wafers Single Gas Input Optional Dual Gas Control Box is Available WITH 30 DAY WARRANTY Optional: REBUILT DIRECT DRIVE PUMP FOMBLIN/KRYTOX PREP(IF PUMPING EXPLOSIVE GAS) 1/4 GALLON RECLAIMED FOMBLIN/KRYTOX OIL Our equipment is sold in good working condition. Guaranteed to work and fully operational. Please contact us if you have any questions or additional equipment needs. We have one of the largest inventories of semiconductor manufacturing equipment for sale in the world. S erving the needs of Colleges and Universities worldwide(Have been in business since 1982) All shipping costs to return the product are the responsibility of the buyer. International Buyers must arrange shipping we'll provide weights ... moreand dimensions Packaging for international and crated shipments will be added to invoice.
Gasonics Plasma Etcher / Asher Display Assembly, P/N 95-0296 Rev C
Gasonics Display Assembly"L-3510 or A-3010" Model 95-0296 Untested. Board will ship Fedx ground. So please included your phone# Quoted shipping price is for US customers only. We'll ship worldwide. But shipping cost will be determined at the time of purchase. Logan Technologies. LP 254-773-4070