Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
59.99
4d 7h 32m 56s
1 bids
$23.50 Shipping
Condition: Used
Location: Leander, United States
Manufacturer: Empak. SMIF Wafer Carrier Case Pod. There may be minor scuffs and scrapes due to previous use. As noted, this carrier was sent to a clean ... moreroom facility, cleaned and wrapped. Notes:This wafer was removed from the spares of a closed facility.
$
58.00
Buy It Now
$8.98 Shipping
Condition: Used
Location: Ephrata, United States
Lot of 6 Silicon 200mm 8" Wafers with Different Lithography Designs. (Lot 0408). 6 wafers have various cuts and slices as shown in the photos. Very colorful ... morewith differing degrees of coloration and die / lithography designs.
$
25.00
Buy It Now
$6.00 Shipping
Condition: Used
Location: Great Falls, United States
8"200mm SILICON WAFER WITH MEMORY PATTERN SUPERB REFLECTIVE EYE CANDY FLASH +++ THE PHOTOS SAY IT ALL… Each Buy It Now winner will get 1(one) beautiful ... more8" or 200mm etched silicon wafer. I believe that the devices are memory dies but cannot be sure as my microscope if not good enough to get the full detail. To my amateur eye it looks like it could be FLASH memory- almost certainly it is memory of some sort. These are manufacturing pulled wafers- 100% perfect wafers would cost in excess of $500(US Dollars) and in any case would not be for sale on eBay as the manufacturer always destroys any surplus wafers. There will be some imperfections and micro scratches and this is just part and parcel of buying used wafers- you gotta live with it! The gallery photo shows how this wafer looks under halogen lighting- stunning eh? The second and third photos are of the wafer under a simple neon tube desk lamp. Note the lovely blue oxide finish on the reverse. The other photos are of the wafer die pattern under my cheapo USB microscope. Wafer will be well packed with plenty of bubble and space to breathe- posting is by Registered Airmail with a tracking number that can be used to trace the item. gsrx_vers_476(GS 6.4.4(476)
$
98.91
Buy It Now
Free Shipping
Condition: Used
Location: San Ramon, United States
I have for sale Entegris UltraPak H9200 200mm 25-Slot Wafer Carrier Cassette. Also included are 7X wafers (already etched).
$
49.95
Buy It Now
$9.73 Shipping
Condition: Used
Location: Fremont, United States
8"200mm SILICON WAFER WITH MEMORY PATTERN SUPERB REFLECTIVE EYE CANDY FLASH +++ THE PHOTOS SAY IT ALL… Each Buy It Now winner will get 1(one) beautiful ... more8" or 200mm etched silicon wafer. I believe that the devices are memory dies but cannot be sure as my microscope if not good enough to get the full detail. To my amateur eye it looks like it could be FLASH memory- almost certainly it is memory of some sort. These are manufacturing pulled wafers- 100% perfect wafers would cost in excess of $500(US Dollars) and in any case would not be for sale on eBay as the manufacturer always destroys any surplus wafers. There will be some imperfections and micro scratches and this is just part and parcel of buying used wafers- you gotta live with it! The gallery photo shows how this wafer looks under halogen lighting- stunning eh? The second and third photos are of the wafer under a simple neon tube desk lamp. Note the lovely blue oxide finish on the reverse. The other photos are of the wafer die pattern under my cheapo USB microscope. Wafer will be well packed with plenty of bubble and space to breathe- posting is by Registered Airmail with a tracking number that can be used to trace the item. gsrx_vers_476(GS 6.4.4(476)
$
44.95
Buy It Now
Free Shipping
Condition: New
Location: Phoenix, United States
Semiconductor devices are manufactured using the most automated and technologically advanced processes in the world. Predictable location for precise ... morewafer access. Details (from the manufacturer). If you're not happy with our product or service, neither are we!
$
24.95
Buy It Now
$8.05 Shipping
Condition: Used
Location: Fremont, United States
8" Semi-Circle 200mm Silicon Wafer. Used wafer, clean, this is a semi-circle.
$
75.00
Buy It Now
$26.00 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
This item is designed for wafer transport processing of silicon wafers. General specifications include: High Profile, Closed Side Wall, Flanges on End ... moreWall and H-Bar End, Handle, 25-Capacity.
$
49.99
Buy It Now
Free Shipping
Condition: Used
Location: Turlock, United States
8"/200mm Dummy Wafer.
$
8.00
Buy It Now
$7.00 Shipping
Condition: Used
Location: Rochester, United States
$
362.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: 200mm Wafer Handler. This Nikon 200mm Wafer Handler Assembly is used working surplus. The physical condition is good, but there are signs of ... moreprevious use and handling. Motor Part No: 1.8°/STEP.
$
21.99
Buy It Now
Free Shipping
Condition: New – Open box
Location: Phoenix, United States
$
909.08
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary.
$
250.00
Buy It Now
$17.00 Shipping
Condition: New – Open box
Location: Temple, United States
Applied Materials 200MM Dummy Wafer.
$
275.00
Buy It Now
$10.77 Shipping
Condition: New
Location: Scotts Valley, United States
The 3 degree layback design does auto align the wafers to each other.
$
699.99
Buy It Now
Free Shipping
Condition: Used
Location: Turlock, United States
200mm Dummy Wafer WA0020. Wafer 21 Pieces. It is being sold as is.
$
599.99
Buy It Now
Free Shipping
Condition: New
Location: White Hall, United States
$
39.99
Buy It Now
$33.44 Shipping
Condition: Used
Location: Leander, United States
Wafer Capacity: 25. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of this product. Original manufacturer's ... morewarranty does not apply. Manufacturer: Shin-Etsu.
$
28.99
Buy It Now
Free Shipping
Condition: Used
Location: Fremont, United States
What you see what you get, there are NO extra parts, cables, glassware, or anything else not pictured. R4 2-2(OPNBRWNBOX).
$
250.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: D129704. The physical condition is fair, but there are signs of previous use and handling.
$
249.99
Buy It Now
$54.29 Shipping
Condition: New
Location: Phoenix, United States
Entegris X6200-0114 200mm 8in. Cassette Wafer Carrier Boat New. Specifications are from manufacturer and may vary slightly due to upgrades, options, or ... morerevisions this unit may or may not have. 10-6-2020 BF.
$
500.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: D131219. This MRC D131219 SST 200mm Filler Ring is used untested surplus and is being sold as-is. The physical condition is fair, but there are ... moresigns of previous use and handling.
$
562.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: 200mm Wafer Cassette Loader. The physical condition is good, with light signs of use. These products are subject to export restrictions under ... moreU.S. law. Set of 2 (Left & Right).
$
4724.99
Buy It Now
Condition: Used
Location: Phoenix, United States
Ultron Systems UH110-8 8" (200mm) Wafer Backgrinding Semiautomatic Protective-Film Remover. Ultron Systems' Model UH110 and UH110-8 Semiautomatic Film ... moreRemovers demount film from 3" to 8" (this unit is setup for 8") wafers after the backgrinding or etching process.
$
400.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Applied Materials 0020-79378 is used untested surplus and is being sold as-is. The physical condition is fair, but there are signs of previous use ... moreand handling. Part No: 0020-79378. Serial numbers or country of manufacture may vary.
$
299.99
Buy It Now
$26.42 Shipping
Condition: Used
Location: Leander, United States
(1) Shin-Etsu MW200 25 Capacity Silicon Wafer Carrier. Model: MW200. FOSB Wafer Carrier. This carrier and silicon wafers are in good condition. The wafers ... morehave various BGA etching micro-circuits fabricated on one side and are polished on the other side.
$
999.99
Buy It Now
Free Shipping
Condition: Used
Location: Boise, United States
Fused Quartz Furnace Vertical Wafer Boat 200mm TC-263-292-001 TOSCH 33” Tall Please see our other items for the matching Tosch Fuzed Quartz Furnace Bell ... moreJar. This item qualifies for eBay Fast N Free- 1 day handling and free domestic shipping with Fedex Ground- Home delivery to lower 48 USA. AK. HI, PR to pay actual shipping. We care enough to take multiple pictures of our items for sale and all pictures are of the actual item for sale. This item is ready to be shipped upon your purchase. Please email us if you have any questions on this item. All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 14 day not DOA satisfaction guarantee. zmw3-r7-s5_gsrx_vers_566(GS 6.7.1(566)
$
49.00
Buy It Now
$21.00 Shipping
Condition: New
Location: Rochester, United States
$
649.99
Buy It Now
Free Shipping
Condition: Used
Location: Turlock, United States
200mm Dummy Wafer WA0020. Wafer 18 Pieces. It is being sold as is.
$
350.00
Buy It Now
$45.00 Shipping
Condition: New – Open box
Location: Killeen, United States
Thick: 712.00. Model: 22289/TW28.
$
995.00
Buy It Now
Free Shipping
Condition: Used
Location: Killeen, United States
This was removed from a Centura Front Loader. Applied Materials Wafer Holder Assy. Can be used for 200MM or 300MM Wafers by re adjusting centering holes. ... moreCurrently set up for 200MM operation.
$
5205.03
Buy It Now
$7.52 Shipping
Condition: Used
Location: Buda, United States
Brooks/Equipe/PRI (For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. SN: TWA-04604. Five-one-two-nine-two-eight-five-five-five-three ... moreCorporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. ).
$
275.00
Buy It Now
$10.77 Shipping
Condition: New
Location: Scotts Valley, United States
The 3 degree layback design does auto align the wafers to each other.
$
450.68
Buy It Now
$22.73 Shipping
Condition: Used
Location: Boise, United States
REQUIRED BY US LAW. Nicole Jackson at getsparesllc. (See Hours of Operation, above). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn ... morezhù. Měiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
99.99
Buy It Now
$62.94 Shipping
Condition: Used
Location: Phoenix, United States
Specifications are from Entegris and may vary slightly due to upgrades, options, or revisions this unit may or may not have. The Storage Box's Model Number ... moreReads: Empak HA-200. The Carrier's Model Number Reads: KA200-80MH.
$
611.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Nikon 200mm Wafer Indexer Lift with Loader is used, working surplus. The physical condition is good, but there are signs of previous use and handling. ... moreRemoved from a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection System.
$
350.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: D121428. The physical condition is fair, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary.
$
44.99
Buy It Now
Free Shipping
Condition: Used
Location: Collinsville, United States
This is lightly used. Very good condition.
$
695.00
Buy It Now
$49.00 Shipping
Condition: New
Location: Tacoma, United States
NEW Currently factory sealed.
$
29000.00
Buy It Now
Condition: Used
Location: Scotts Valley, United States
Expertech remanufactured this maxibrute in the mid 2000s, and the tool was used in a prototype lab for a number of years. Unit was orignally manufactured ... moreat the Thermco Products factory on Batavia Orange California.
$
362.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection ... moreSystem. Part No: Linear Rail Wafer Transport. Serial numbers or country of manufacture may vary.
$
90.00
Buy It Now
$17.00 Shipping
Condition: Used
Location: Rochester, United States
8” (200mm) ring. I have over 500 available, reach out for any number you need and we’ll work out a deal.
$
475.00
Buy It Now
$10.77 Shipping
Condition: New
Location: Scotts Valley, United States
$
776.41
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a AMAT Applied Materials Orbot WF 720 200mm Wafer Inspection ... moreSystem. Removed from a AMAT Applied Materials Orbot WF 720 200mm Wafer Inspection System.
$
40.00
Buy It Now
$60.00 Shipping
Condition: New – Open box
Location: South Korea
$
90.00
Buy It Now
$20.00 Shipping
Condition: Used
Location: Raleigh, United States
Very lightly used film frames for dicing. Most have only been used one time, all are in very good condition. Sold in packs of 10.● Stainless Steel● Conductive● ... moreDurable● Impact Resistance● Temperature Resistance
$
10000.00
Buy It Now
Condition: Used
Location: Hollister, United States
This Camtek Falcon 200 ALB Wafer Inspection System (200mm), is used untested surplus and is being sold as-is. Also, the objectives have been removed. ... moreIt shows signs of use and handling, such as, scuffing and scratching to the paneling.
$
25.00
Buy It Now
$28.61 Shipping
Condition: Used
Location: Manchester, United States
PART NUMBER PH9200. BOAT HAS 25 SLOTS.
$
1012.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Nikon 200mm Wafer Inspection Transport OPTISTATION 3 SST55D3C020 is used working surplus. The physical condition is good, but there are signs of ... moreprevious use and handling. Removed from a Nikon OPTISTATION 3A 200mm Automatic Wafer Inspection System.
$
1503.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi I-900SRT 200mm Wafer Transfer is used working surplus. Removed from a Hitachi I-900SRT Wafer Defect Inspection Tool. One of the plastic wafer ... morepins is broken (see photos). The physical condition is good, but there are signs of previous use and handling.
$
325.00
Buy It Now
$18.50 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
EPB-8/200-22 Wafer Carrier. Parts are washed and rinsed in RO water, dried under HEPA filtered air, and bagged in cleanroom bags.
$
1750.00
Buy It Now
Free Shipping
Condition: Used
Location: Seattle, United States
Typically the MASCOT Loadlock would be mounted to a gate valve attached to a main system chamber. After the loadlock is evacuated to the desired vacuum ... morelevel, and the gate valve opened, the substrate is transferred into the process chamber by gliding the magnet carriage along the outer tube.
$
58.00
Buy It Now
$8.98 Shipping
Condition: Used
Location: Ephrata, United States
Lot of 6 Silicon 200mm 8" Wafers with Different Lithography Designs. (Lot 2532). 6 wafers have various cuts and slices as shown in the photos. Very colorful ... morewith differing degrees of coloration and die / lithography designs.
$
1009.10
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Brooks Automation 8600-65BAJ is used working surplus. The physical condition is good, but there are signs of previous use and handling. Serial numbers ... moreor country of manufacture may vary.
$
44.99
Buy It Now
$33.44 Shipping
Condition: Used
Location: Leander, United States
Model: PH9200. Manufacturer: Entegris. Compatible Wafer Size: 200mm (8"). Double Snap Cover. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor ... moreor affiliated with the manufacturer of this product.
$
795.00
Buy It Now
$33.74 Shipping
Condition: New
Location: Fremont, United States
(8) cassettes of 25 wafers with thermal oxide 2000-5000A. Packaged in foil sealed bag. Wafer spec: 200mm, P/Boron, (100), Single side polished. Price ... moreis for one full cassette of 25 wafers.
$
407.10
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Lam Research 715-130080-008 is used, working surplus. It was removed from a Lam 4420 etcher. The physical condition is good, but there are scratches ... moredue to handling. Removed from a LAM 4420 etcher.
$
41.62
Buy It Now
Free Shipping
Condition: New
Location: Tacoma, United States
New but not individually boxed.
$
1096.20
Buy It Now
$11.34 Shipping
Condition: Used
Location: Boise, United States
REQUIRED BY US LAW. (See Hours of Operation, above). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 ... more电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
297.49
Buy It Now
$22.00 Shipping
Condition: New – Open box
Location: Mesa, United States
YOU ARE BUYING ONE SPEEDFAM 0810-717415 WAFER CARRIER 2805-700924 ASSEMBLY 200MM. 0.125 HOLES. (MWUPWSRX3)CJ (G1CAGE5C).
1 2 3 4 5 6 7 8 9 10
 In 

Former Listings  
 
8"/200mm SILICON WAFER WITH MEMORY PATTERN SUPERB REFLECTIVE EYE CANDY FLASH +++
8"200mm SILICON WAFER WITH MEMORY PATTERN SUPERB REFLECTIVE EYE CANDY FLASH +++ THE PHOTOS SAY IT ALL… Each Buy It Now winner will get 1(one) beautiful 8" or 200mm etched silicon wafer. I believe that the devices are memory dies but cannot be sure as my microscope if not good enough to get the full detail. To my amateur eye it looks like it could be FLASH memory- almost certainly it is memory of some sort. These are manufacturing pulled wafers- 100% perfect wafers would cost in excess of $500(US Dollars) and in any case would not be for sale on eBay as the manufacturer always destroys any surplus wafers. There will be some imperfections and micro scratches and this is just part and parcel of buying used wafers- you gotta live with it! The gallery photo shows how this wafer looks under halogen lighting- stunning eh? The second and ... morethird photos are of the wafer under a simple neon tube desk lamp. Note the lovely blue oxide finish on the reverse. The other photos are of the wafer die pattern under my cheapo USB microscope. Wafer will be well packed with plenty of bubble and space to breathe- posting is by Registered Airmail with a tracking number that can be used to trace the item. gsrx_vers_476(GS 6.4.4(476)
Applied Materials AMAT 0010-10327 8" ESC Wafer Chuck MXP+ 200mm 0020-32909
Questions? Call us: 1-877-328-9236. Applied Materials AMAT 0010-10327 8" ESC Wafer Chuck MXP+ 200mm 0020-32909. Manufacturer: Applied Materials AMAT Model: 0010-10327 Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Applied Materials AMAT Condition: Used. Comes in original packaging. This ESC chuck is in good condition with a few very minor little scuffs and scratches from normal use. But nothing major. Notes: This ESC chuck was removed from a working system that was being decommissioned. But was not tested separately after removal. No manuals, cords/cables, or additional items are included if not listed or shown. Included: 1) Applied Materials AMAT 0010-10327 200mm ESC Wafer Chuck MXP+, Specifications: Manufacturer: Applied Materials(AMAT) AMAT Part# 0010-10327, Alt. AMAT Part# 0020-32909, ... moreRevision: Rev. B, Description: ESC Wafer Chuck, Wafer Size: 8" 200mm) Condition: Used, Quantity: 1, SKU: N14P002 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing
Silicon Wafer Wafers 8" (200mm) with Pattern
Powered by Frooition Pro Shop Search. Click to close full size. Item Description. For sale is one(1) 200mm(8" silicon wafer. This wafer has a great pattern with some small areas that look like they are overpolished near the edge of the wafer. We do not have any other information these wafers. Please ask any questions before bidding and good luck. Powered by The free listing tool. List your items fast and easy and manage your active items. Sellebrity Analytics. About Us ML Solar. LLC operates as manufacturer and wholesale distributor specializing in the solar and renewable energy marketplace. We are located in Silicon Valley, which continues to be the leading hub for high-tech innovation and development. Our product lines range in assisting the weekend DIY'ers to light commercial construction projects with the highest quality products ... moreavailable on the market today. With the combined years of experience in the solar industry and NABCEP certification, we are dedicated to fulfilling all of our customers' solar needs. Payment Payments for auctions must be made within TWO days of auction closing. We accept payments by PayPal and credit cards processed through PayPal. Wire transfers are accepted for payments over US$3000.00. Shipping We ship everyday Monday through Friday. If you have payment made by 1pm PST the chances are it will ship that day. We DO ship to APO/FPO and Post Office box addresses. To Alaska and Hawaii and to all countries around the world. Buyers are responsible for any international customs and duties that may apply. We can only ship to the address we receive from PayPal. We CANNOT ship to any address you give us over the phone. Email or eBay message. PLEASE MAKE SURE YOU CHOOSE THE CORRECT ADDRESS WHEN YOU MAKE THE PAYMENT. WE WILL NOT BE ABLE TO CHANGE THE SHIPPING ADDRESS AFTER PAYMENT IS MADE. Sometimes we need to contact you for additional verification. This may happen if your PayPal address is unconfirmed or if your credit card needs additional verification. Or in other occasions. P
200mm Wafer Carrier/Boat Flouroware A198-80MB+ and Box Robotic Latch E210-80
Flouroware 200mm Wafer Carrier/Boat A198-80MB and Box Robotic Latch E210-80 Let me know how many you want and we will figure a quantity price and shipping.
Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing
Search our eBay Store! Novellus 16-156335-00 200mm Stainless Steel Shower Head Assy Wafer Processing SKU: HS-TER-C-NOVSHOWER Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge New Page 1 Novellus 16-156335-00 200mm Stainless Steel Shower Head Assembly for Wafer Processing PLEASE NOTE: WE BELIEVE THAT THIS UNIT FUNCTIONS AS A SHOWER HEAD. HOWEVER WE ARE UNCERTAIN. THIS UNIT DOES NOT APPEAR TO BE COMPLETE. THE ACTUAL SHOWER HEAD NOZZLE APPEARS TO BE MISSING. THE PART NUMBER 16-156335-00 IS INSCRIBED INTO THE UNIT. P/N: 16-156335-00 Physical Condition: Excellent. We do not have the necessary resources ... moreto test this unit. Overall Dimensions(Diameter” x Height" 8 3/16 x 25. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combin
200mm (8") Single Silicon Wafer carriers (three carriers)
For sale here are three 200mm(8" silicon wafer carriers. These three carriers are in a sealed package. I will ship via USPS Flat Rate box to any destination in the USA. Note: The wafer in the second photo is NOT included. Just the three Wafer Carriers.
VAT F02-69561-07 Pneumatic Rectangular Vacuum Gate 200mm Wafer Transfer Valve
Search our eBay Store! VAT F02-69561-07 Pneumatic Rectangular Vacuum Gate 200mm Wafer Transfer Valve SKU: JV-HAN-B-VATF0269561 Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge VAT F02-69561-07 Pneumatic Rectangular Vacuum Gate 200mm Wafer Transfer Valve P/N: F02-69561-07. Gate Size: 32mm x 222mm. Physical Condition: Good- Minor scuffs/scratches on exterior. O-ring shows no signs of cracks or tears. Gate seal appears to be in good condition. One of the original metal cover panels has been replaced with a plexiglass panel. Functionality/Degree of Testing: We do not have the necessary resources to ... moretest this unit. Dimensions(L" x W" x H" 3 x 13-3/8 x 13. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shi
SVG/ASML-090201 9" 200mm Twinscan Heated Wafer Table Chuck w/Watlow Thermocouple
Search our eBay Store! SVG/ASML-090201 9" 200mm Twinscan Heated Wafer Table Chuck w/Watlow Thermocouple SKU: JV-HAN-C-ASML090201 Condition: For parts or not working Packaging: OEM Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge SVG/ASML-090201 9" 200mm Twinscan Heated Wafer Table Chuck w/Watlow Thermocouple. P/N: ASML-090201. Diameter: 9” Unit has Watlow Thermocouples installed. WE BELIVE THIS UNIT IS FROM AN ASML 200MM TWINSCAN. HOWEVER WE ARE UNCERTAIN. Physical Condition: Good– unit has some scuffs/scratches on exterior surface. Functionality/Degree of Testing: We do not have the necessary resources to test ... morethis unit. Dimensions(L" x W" x H" 9 x 9 x 12. BEING SOLD AS-IS FOR PARTS OR REPAIR. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please
MicroVision MVT 7080 4-Cassette Wafer Sorter 75-200mm MVT-5080/MC70
Questions? Call us: 1-877-328-9236. MicroVision MVT 7080 4-Cassette Wafer Sorter 75-200mm MVT-5080/MC70. Manufacturer: MicroVision Model: Various Condition: For Parts or Not Working Price: The photos show the exact item(s) the buyer will receive. Manufacturer: MicroVision Condition: For Parts or Not Working. Cosmetic condition is generally good aside from minor blemishes from normal use(see photos) Notes: This unit is INCOMPLETE so we are selling it for parts or repair only. Two side panels. The high-speed aligner, and the computer are missing. We plugged the power cord in and switched on. The fan in the controller came on and the laser sensor flashed once. When we switched off, the laser flashed again and the robot twitched once. Nothing else happened. The keyboard tray slides smoothly in and out from under the table, and the casters under ... morethe unit allow for very easy moving of the machine. No other testing was done. No manuals, cords/cables, or additional items are included if not listed or shown. Included: 1) MicroVision MVT 7080 four-cassette semiconductor wafer sorter, 1) MVT 5080 robot, 1) MVT MC70 robot motion controller, 4) Cassette stations, 1) Table, All cables pictured, Specifications: Manufacturer: MicroVision, Model: See included list, Description: The MicroVision MVT 7080 Wafer Sorter combines intelligent motion control with features such as laser mapping and OCR. It features the MicroVision robot with a dual paddle design for fast through put. Closed-loop control of all axes. Vacuum sensors on each handling element, and a backup vacuum reservoir provide assurance of secure handling. 3-axis robotic handler robot with integrated laser mapping system for sorting. Splitting or randomizing wafer lots, Integrated wafer ID reader with advanced illumination system for accurate and repeatable optical character recognition, Over 300 wafers/hour in sort mode, 75mm to 200mm wafer capability, Recipe or manual control, 110V AC 60Hz power plus vacuum are required. SKU: K43D004 For questions regard
Used & Refurbished Brooks AM-3000 300mm FOUP to 200mm Wafer Cassette Adapter
Fixeon Refurbished- Brooks AM-3000 300mm FOUP to 200mm Wafer Adapter Shown is a picture of a AM-3000 Wafer Adapter. Brooks’ AM-3000 wafer adapter allows 200mm wafers to be processed inside a 300mm FOUP(not included) Slide the unit in and start reducing your wafer costs. Remove the adapter at any time to return to 300mm capability. Does not include a 300mm FOUP. Contact us at www.fixeon.com for your entire wafer shipping needs. Fixeon. Located in Austin, TX serves the semiconductor industry globally with our diverse variety of wafer shippers and related wafer shipping consumables, offering a total solution to protect silicon wafers during processing and transportation. Unique Products | Maximum Performance | Superior Value Fixeon’s customer focused attitude is committed to exceeding your expectations. Fixeon 2227 W. Braker Lane Austin. Texas ... more78758 512 918-1732 x101*IMPORTANT-PLEASE READ BELOW BEFORE BIDDING* Buyer is responsible for actual shipping costs. Shipping costs stated here may not reflect actual shipping costs. But may be used as a guideline. Please call for exact shipping costs. A charge of $15 per box plus actual shipping per specified carrier or charged to your account. INTERNATIONAL BUYERS: World Wide Shipping available. Buyer will pay above handling plus the actual shipping cost. PLEASE CALL AHEAD FOR ACTUAL SHIPPING COSTS! Items come 1 per box.
Lot of 3 Shin-Etsu MW-200N 200mm 25-Wafer Carrier/Shipping Box MW200N Clear
Questions? Call us: 1-877-328-9236. Lot of 3 Shin-Etsu MW-200N 200mm 25-Wafer Carrier/Shipping Box MW200N Clear. Manufacturer: Shin-Etsu Model: MW-200-N Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Shin-Etsu Condition: Used. Comes in non-original packaging. These wafer carriers are in good condition with a few minor scuffs and scratches from normal use. But nothing major or anything that would affect function. Notes: No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 3) Shin-Etsu MW-200N 200mm Wafer Carriers, Specifications: Manufacturer: Shin-Etsu, Model: MW-200N, Description: Wafer Carriers/ Shipping Boxes, Wafer Capacity: 25-Wafer, Wafer Size: 200mm, Weight(each) 4.50 lbs. Condition: Used, Quantity: 1, SKU: N08P005 For questions regarding this item. ... morePlease reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. DougDeals does not ship on buyer accounts or allow the buyer to arrange shipping. Shipment must be arranged through us and will be pr
Kyocera 200mm Ceramic Vacuum Chuck /Panasonic AC Servo Motor MHMA Wafer Transfer
Payment Policy Brand New Sony CyberShot 8 MP Di Kyocera 200mm Ceramic Vacuum Chuck/ Panasonic AC Servo Motor MHMA Wafer Transfer Attention All Buyers If there is anything wrong with the item that you have purchased. Please do not leave negative feedback and do not open any disputes with eBay or PayPal. Just contact us directly and we will fix the problem quickly. Item Description Kyocera 200mm Ceramic Vacuum Chuck/ Panasonic AC Servo Motor MHMA Wafer Transfer Used Condition Kyocera 200mm Ceramic Vacuum Chuck with Panasonic MHMA High Inertia AC Servo Motor Wafer Transfer Items Include: 1 x Kyocera Ceramic Vacuum Chuck Table 100- 200mm. LJLR-910054-1 1 x Panasonic MHMA High Inertia AC Servo Motor 1kW/ 1000W 200V. Model: MHMA102A2N with 200-300mm Vacuum Chuck Holder Assembly Item Condition Used Condition Actual Picture of the item is provided. ... moreWhat you see in the picture(s) is what you get Note: In Quantity Auctions. The serial number of the item that you receive may vary from the item shown above. Payment Policy 1) PayPal: We accept PayPal from all verified accounts. Note: For large payments or payments from some foreign countries we may require other electronic means of payment. 2) Credit Cards: We accept credit card payments through PayPal only. 3) Checkout: All US buyers should follow eBay checkout process to receive the proper invoice. International buyers should contact us to for an update on the shipping costs before they can follow eBay checkout. 4) Sales Tax: Californian buyers pay a Sales Tax of 8.625% unless a valid Resale Certificate or Tax exemption Certificate number is remitted by email or fax prior to submitting payment. 5) Checkout: An automated Non-Paying Bidder Alert will be filed if payment is not received in 4 days from the end of the auction. 6) Questions: For any questions. Please contact us directly from the auction page click on our ID(supertechshop) and then click on(Contact Seller) link. Shipping& Handling Policy 1) Handling Time: We ship packages in 1 business day after receiving
Empak XT202-01 200mm Black Wafer Carrier/Boat+HA200 Box Robotic Latch HA-200
Questions? Call us: 1-877-328-9236. Empak XT202-01 200mm Black Wafer Carrier/Boat+HA200 Box Robotic Latch HA-200. Manufacturer: Empak Model: XT202-01 Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Empak Condition: Used. Comes in non-original packaging. This wafer carrier and box are in excellent condition with a few very minor scuffs and scratches on the outside of the box from light use. But nothing major or anything that would affect function. Notes: No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Empak XT202-01 200mm 25-Wafer Carrier, 1) Empak HA200 200mm Wafer Transport Box, Specifications: Manufacturer: Empak, Wafer Boat Part# XT202-01, Wafer Box Part# HA-200, Description: Wafer Carrier w/ Box, Color: Black, Wafer Size: 200mm, Wafer Capacity: ... more25-Wafers, Profile: High Profile, Sidewall: Closed Sidewall, Handle: Yes, Box Latch Style: Robotic Latch, Total Weight: 4.55 lbs. Condition: Used, Quantity: 1 Set, SKU: M22P019 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO B
AMAT 0010-03345 WxZ Heater Wafer Pedestal 8"/200mm JHT
Questions? Call us: 1-877-328-9236. AMAT 0010-03345 WxZ Heater Wafer Pedestal 8"200mm JHT. Manufacturer: Applied Materials AMAT Model: 0010-03345 Condition: Refurbished by Seller Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Applied Materials AMAT Condition: Refurbished by Seller. Comes in factory sealed packaging. Only to be opened in class 1000 clean room or better. Handle with gloves. Notes: This item has not been tested. This part has not been flushed. Bake time: 15.5 hours. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) AMAT 0010-03345 8" 200mm Heater pedestal, Specifications: Manufactured for Applied Materials, AMAT Part Number: 0010-03345, WxZ Heater Pedestal Wafer Processing, 8" 200 mm, AMJ Seasoning, Bake Time: 15.5 hours, SKU: L32J024 ... moreFor questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. DougDeals does not ship on buyer accounts or allow the buyer to arrange shipping. Shipment must be arranged through
Trikon 162903 150mm 200mm Wafer Lift Asy part# 162903
SPECIFICATION Make: Trikon Model: Condition: Pre Owned. Like Neew Description: 150mm 200mm Wafer Lift P/N: 162903*Item is available for Local Pick up(San Jose. Santa Clara, Cupertino, Sunnyvale, etc. CONTACT Visca Equipment If you have questions or need more pictures. Please contact us. Business Hours: M-F 9AM to 6PM PST Email Address: Visca.Equipment@gmail.com
SensArray Process Probe 200mm Test Wafer 1770 series
There are 17 Type K Thermocouple junctions placed uniformly on the 8 inch(200 mm)silicon wafer. A diagram of each location and corresponding plug pin number is included as well as the calibration certificate from 1995
LOT of 3 200mm Wafer Shipping Boxes, 25 Wafer Capacity
Item up for sale is a: LOT of 3 200mm Wafer Shipping Boxes 25 Wafer Capacity Item is used and is untested other than what is indicated below: If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. 20 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. Payment options: PayPal only or contact us for payment methods to pay on pickup. Warranty for US shipments: 14 days testing period unless the auction specified as final sale. Buyer must return the product back to the company in a timely manner. Refund upon receiving and inspecting of product and for the cost of the product only. Restocking fee ... moremay apply for the new product shipped. Warranty for International shipments: All international orders are final. No exception. Shipping: Buyer is responsible for all shipping cost including returns. Please note for domestic shipments(within US) we ship Fedex ground only. But you can use UPS ground shipping rates(calculated automatically by ebay) to estimate the shipping charges. Actual Fedex ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FEDEX does not ship to PO Boxes- please provide us with a regular address prior to completing the transaction. International Shipments: International shipments are shipped by USPS global express mail if such option is available. We process international shipments twice a week. Please note that Buyer is responsible for all custom duties/taxes/fees where applicable. Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding/buying. We do not mark mercha
8" 200mm Silicon Copper Patterned Wafer Lot Of 6 Wafers
You are bidding on a lot of 6 copper patterned wafers. These are 200mm and they are in great condition. Not too much else to say. great for nostalgia. Framing, or whatever. Shipped in standard 25 wafer cassette box. Please ask questions if you have them. I accept Paypal only or cash if its a local pick up. I usually ship next day after payment is received or in some cases same day if time allows. Please US bidders only. I will NOT ship out of the country. Payments must be received within 5 days of close of auction. Happy bidding and thanks for looking. If you are a new bidder and you have ZERO feedback you must contact me first with intentions to bid or your bid/bids will be cancelled immediately.
Fortrend F-8225 8" 200mm 25 Wafer Transfer System F8225, XT200 to A192-81M
Fortrend F-8225 8" Wafer Transfer System(25 Wafer Capacity per Station) Comes with what you see in the pictures. If you don't see it. You probably wont get it. Specifications are from Fortrend Engineering and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. The unit is guaranteed to be in working condition. I went through all the functions in manual mode and they all worked. Multiple available. Only 1 sold in the auction. The Unit's Serial Number Tag Reads: Model Number: F-8225 Part Number: 114-1002 Serial Number: 1000-160 Air Pressure: 50 PSI Power Requirements: 120 VAC. 50/60 Hz, 1 A General Description: The Fortrend Wafer Transfer Systems are fully automated and reliable. The systems design reduces contamination and increases yield while increasing throughput by transferring wafer lots. ... moreThe small footprint makes them ideal for those areas where equipment space is limited. Our precise engineering and manufacturing produces a product for the Class 1 cleanroom and sub-micron geometries and serves all fab requirements including wet stations. Robotics and CMP applications or anywhere wafers are placed into process carriers. These systems can be integrated into process equipment for high throughput or used as stand alone equipment. For More Pictures Please Click the Following: For Domestic Customers: Packaging. Handling, and order processing included in shipping in all domestic shipments as quoted by the shipping calculator. For International Customers there is a $50.00 minimum for packaging, handling, and order processing. International shipping to be determined by destination. If you have any questions please email us at: or call Michael at 1-866-MHZ-ELEC(1-866-649-3532) Toll Free. Also. Please to view or other auctions! 10/18/12 Powered by The free listing tool. List your items fast and easy and manage your active items.
Axcelis Eaton wafer implant 0 degree disk for 8inch 200mm wafers 1175990 1175490
This is a refurbished disk part number 1175990 which is the factory number for an original disk part number 1175490 that has been refurbished to original spec. This is for a zero degree angle implant. Will ship in original packing container. Is cleanroom packed and opened to photo. Shipping is at actual charges. No handling, packaging or processing charges are added into the price. Multi shipping services are available. 560.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2B%3E560-13fc0d0223d-0x105-
Entegris Fluoroware A192-80M PFA Wafer Cassette 8" 200mm
You are bidding on a Entegris Fluoroware PFA high purity wafer cassette part number A192-80M. Holds 25 each 200mm wafers. Excellent condition. Please ask questions if you have them. I accept Paypal only or cash if its a local pick up. I usually ship next day after payment is received or in some cases same day if time allows. Please US bidders only. I will NOT ship out of the country. Payments must be received within 5 days of close of auction. Happy bidding and thanks for looking. If you are a new bidder and you have ZERO feedback you must contact me first with intentions to bid or your bid/bids will be cancelled immediately.
200mm Silicon Wafers Box / Cassette Of 25 Semiconductor 8" Wafer
You are bidding on a full cassette of 25 silicon wafers. These are 8" or 200mm wafers. I have over 50 boxes available. If you ask me particulars about the wafers I probably won't be much help. Some are polished some are not. Some have copper coatings and a few have patterns but not many. None of them have flats on them. Some have multiple color hue's to them. Each quantity you purchase is for 1 box of 25. If you want a particular wafer will do my best to dig them out but for the most part I will ship the first box from the pile. Please ask questions if you have them. I accept Paypal only or cash if its a local pick up. I usually ship next day after payment is received or in some cases same day if time allows. Please US bidders only. I will NOT ship out of the country. Payments must be received within 5 days of close of auction. ... moreHappy bidding and thanks for looking. If you are a new bidder and you have ZERO feedback you must contact me first with intentions to bid or your bid/bids will be cancelled immediately.
Shin-Etsu MW 200N 200mm Silicon Wafer Shipping Storage Box Cassette
You are bidding on 1 Shin-Etsu MW 200N 200mm wafer box in excellent condition. I have other brands like Empak and Entegris in other auctions also. I also have about 3000 wafers on hand as of 8/1/13. I have not inventoried the lot so I am not really sure what is there. if you are looking for something specific please ask. Most of my inventory is 8" I have 4" 6" and 12" also. Please ask questions if you have them. I accept Paypal only or cash if its a local pick up. I usually ship next day after payment is received or in some cases same day if time allows. Please US bidders only. I will NOT ship out of the country. Payments must be received within 5 days of close of auction. Happy bidding and thanks for looking. If you are a new bidder and you have ZERO feedback you must contact me first with intentions to bid or your bid/bids ... morewill be cancelled immediately.
Empak Ultrapak 200mm Silicon Wafer Shipping Storage Box Cassette
You are bidding on 1 Empak Ultrapak 200mm wafer box in excellent condition. I have other brands like Shin-Etsu and Entegris in other auctions also. I also have about 3000 wafers on hand as of 8/1/13. I have not inventoried the lot so I am not really sure what is there. if you are looking for something specific please ask. Most of my inventory is 8" I have 4" 6" and 12" also. Please ask questions if you have them. I accept Paypal only or cash if its a local pick up. I usually ship next day after payment is received or in some cases same day if time allows. Please US bidders only. I will NOT ship out of the country. Payments must be received within 5 days of close of auction. Happy bidding and thanks for looking. If you are a new bidder and you have ZERO feedback you must contact me first with intentions to bid or your bid/bids ... morewill be cancelled immediately.
Entegris Ultrapak 200mm Silicon Wafer Shipping Storage Box Cassette
You are bidding on 1 Entegris Ultrapak 200mm wafer box in excellent condition. I have other brands like Shin-Etsu and Empak in other auctions also. I also have about 3000 wafers on hand as of 8/1/13. I have not inventoried the lot so I am not really sure what is there. if you are looking for something specific please ask. Most of my inventory is 8" I have 4" 6" and 12" also. Please ask questions if you have them. I accept Paypal only or cash if its a local pick up. I usually ship next day after payment is received or in some cases same day if time allows. Please US bidders only. I will NOT ship out of the country. Payments must be received within 5 days of close of auction. Happy bidding and thanks for looking. If you are a new bidder and you have ZERO feedback you must contact me first with intentions to bid or your bid/bids ... morewill be cancelled immediately. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*3A%3Cd%3Ff6%3E-1405fb0dd0a-0x101-
Fluoroware A057-1 End-Load Style Handle for 200mm Low-Profile Wafer Carrier 18”
Search our eBay Store! Fluoroware A057-1 End-Load Style Handle for 200mm Low-Profile Wafer Carrier 18” SKU: JV-MAT-C-A0571HANDLE Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Fluoroware A057-1 End-Load Style Handle for 200mm Low-Profile Wafer Carrier 18” Model: A057-1 Physical Condition: Good. Minor scuffs/scratches and signs of use. Functionality/Degree of Testing: We do not have the necessary resources to properly test this unit. Auction is for handle only. Wafer carrier is not included. Dimensions(L" x W" x H" 18.25*2.75*4.5 THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. ... moreSVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your pur
Fluoroware A057-2 End-Load Style Handle for 200mm High-Profile Wafer Carrier 18”
Search our eBay Store! Fluoroware A057-2 End-Load Style Handle for 200mm High-Profile Wafer Carrier 18” SKU: JV-MAT-C-WAFERHANDLE2 Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Fluoroware A057-2 End-Load Style Handle for 200mm High-Profile Wafer Carrier 18” Model: A057-2 Physical Condition: Good. Minor scuffs/scratches and signs of use. Functionality/Degree of Testing: We do not have the necessary resources to properly test this unit. Auction is for handle only. Wafer carrier is not included. Dimensions(L" x W" x H" 18.25*2.75*4.5 THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. ... moreSVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your
Okamoto Wafer Backside Grinder Model VG502MK2 -8 / VG502MKII-8 200mm
OKAMOTO VG502MK2-8 WAFER BACKSIDE GRINDER System was previously owned by major memory manufacturer. Whose entire 8" line was decommissioned. This system was on a maintenance contract with Okamoto, and was in full operational condition at the time it was deinstalled. This system is available for inspection at our Metro NYC area facility. We can also arrange for inspection under power. As well as installation. Please contact us for full details- including extensive photo sets. The photo above. Of course, is a stock photo. For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for over 35 years. Our 50,000 square foot facility is located in the metropolitan NYC area. Feel free to contact us for additional information through ebay mail. ... moreOr directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements.
Lot of 4 Entegris Ultrapak 200mm Wafer Shipping Boxes
200 MM ULTRAPAK® WAFER SHIPPING BOX WITH WAFERSHIELD MATERIAL This item is in very clean condition. includes the box and the 25 cassette holder. Sold in lots of 4 g.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bjw%60hquvg%3Eg-14300e50ea8-0xfc-
2 Microtool Wafer 300mm to 150mm & 300mm to 200mm adapters AM-3002 & AM-3002150
2 Microtool Wafer 300mm to 150mm and 300mm to 200mm adapters AM​-3002& AM-3002150 1 lot of 2 Microtool Adapters Used Buy Them Now: $90 for both Best offers considered Packed Size: 12x12x6 Packed Weight: 12lbs Sorry. No International Shipping on this item Our Lot# 3158 WE USUALLY SHIP(95% OF MY LISTINGS SAME DAY IF PAID FOR BY 12:00 P.M. PDT. PLEASE EMAIL ME OR CALL 818-384-1158 TO VERIFY I SEE YOUR PAYMENT OR IF YOU NEED YOUR ITEM SHIPPED SAME DAY. PLEASE SEE MY OTHER LISTINGS FOR MORE GREAT VALUES! WE WILL COMBINE SHIPPING IF POSSIBLE. WE WILL SHIP WORLDWIDE(Except when noted above) THANK YOU! Please check out the photos in the listing so you can see the item you will be receiving. Please email us from the"Ask A Question" link at the bottom of this page with any questions. Your satisfaction is important to us. If for any reason ... moreyou are not happy with the item. Or our service, please contact us with the problem. So before leaving a negative, neutral, or even unflattering positive feedback, please simply contact us. We will do whatever is necessary to resolve the situation. SHIPPING INFO: This item weighs about 12 lbs packed in a 12 X 12 X 6 Inch Box. The amount quoted for shipping is directly from the USPS/FedEx based on distance package will travel and weight. If the rate provided seems incorrect. Please contact us and we will be happy to verify with chosen carrier. Shipping is calculated using the USPS calculator for USA orders. For international(if available) A $30 paperwork fee applies and the bidder must provide a FedEx, UPS or DHL account number for any and all shipments, export/import and or custom fees- please contact us for exact shipping cost. Typically we ship out items same day for payments received before 12pm pacific time. Items being shipped within CA will include sales tax.
MJC 200mm wafer prober, 8" Wafer Prober
MJC wafer prober MJC Wafer Prober for up to 200mm Wafers Includes calibrated B&L stereo zoom 7 optics. 336.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3E336-1432ef882a7-0x104-
Pallet of 200mm wafer cassettes (entire lot)
Full pallet of various 200mm wafer cassettes. Some are black with boxes(Empak) some ware white carriers, some are clear cassettes without boxes. There are about 65-75 cassettes total. All are in good usable condition. Came out of an R&D lab, not much usage on them, good condition.
AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"200mm NEW? Purchased as new inventory spares. Do not have original packaging so cannot verify. Appears new. We did notice one scratch on the outside metal. Which you can see in the picture with the tape measure on the side. Since we don't have the original packaging and can't verify condition. Are selling at used price As Is. See pictures for condition. Appears new. Check Out: Purchase: Making purchases is easy and simple. To place an order for procurement. Please click the“Buy It Now” button. Follow to the next page for payment instructions. Payment: Click the“Pay Now” button at the top of the E-Bay page to proceed with the checkout and payment process. Payment must be made within 5 days of purchase. Accepted Forms: We accept PayPal. Or you may pay on your credit card via PayPal. When ... morepaying via PayPal. Payments must include your shipping address and should be submitted via the E-bay payment process. Sales Tax: For California customers. Sales tax is added and depends upon your location. If you are tax exempt. Please provide a copy of your Tax Exempt Certificate prior to check out. Please do not send payment before receiving a revised invoice. Shipping: Shipping rates are the responsibility of the customer and are not refundable. Rates include handling. Packaging materials, label scanning and tracking information. Shipping rates are based upon the weight and dimensions of the package and your location. If we have packaging materials for smaller items. We include packaging and handling at no charge. If they are unavailable, we charge our cost. For larger capital equipment, please contact us for crating costs and exact weight prior to shipment as the crate will add weight to the tool set. Insurance: Should you prefer insurance on your item. Please inform us PRIOR to check out so this may be added to the shipping cost. Insurance is not added unless specifically requested by the buyer. Processing Time: Once payment has cleared. We make every effort to ship your
Empax Natural PFA Wafer Cassette MPN-X2200-200MM
Used only several times for lab work MPN: X2200-25 Qty. Available 2 pcs. Chemical Retentioned Material: Solid Natural PFA Capacity: 25 wafers Round Crossbar Wash Openings Pins& Holes on Top Rail EndWall Style: Solid
8"INCH EMPAK ULTRAPAK 200mm WAFER CARRIER- PH9200- WITH 7 8"INCH WAFERS INSIDE-
8"INCH EMPAK ULTRAPAK 200mm WAFER CARRIER- PH9200- WITH 7 8"INCH WAFERS INSIDE- NOT SEALED THERE ARE A TOTAL OF 7 WAFERS INSIDE OF THE CARRIER- 1 IS A MAGENTA/PURPLE COLOR. 1 IS A GREY SEMI-RAINBOW COLOR& THE 3RD IS A SILVER PLATINUM LIKE COLOR- THE OTHER 4 ARE THE SILVER WHITE CHROME COLOR WITH A RETANGULAR SPACE NOT COVERED OR COLORED BY THE SILVER COLOR- THEY ARE NOT SEALED- THE LABEL ON THE WAFER CARRIER STATES: MB18287 1F K82C OTHER THAN THAT I HAVE NO OTHER INFORMATION
100-200mm single wafer plating tool
Small scale Electroplater for 4.6,8” wafers. Item is slightly used but in excellent condition and reconditioned by manufacturer. System consists of a rigid PVC/Titanium frame that sits in a polypropylene tank, total solution volume is 23 liters, tank foot print is 12"x12"x 12" Frame is easily removed from process solution for chemical and mechanical maintenance. Pumped circulation and filtration. Reciprocating paddle agitation ~ 5mm from surface of wafer. Copper anode and current distribution shield included. 120v AC, 1ø, 15 amp service. Can use any plating solution compatible with PVC, polypropylene, Titanium and operated at 55 ºC or less. Heating by electric immersion heater with tap water cooling coil incorporated. Easy switch over from 4” to 6” to 8” wafers by changing the collimator and the wafer holder. RoHS ... morecompliant. This model is prepared for Cu plating using a soluble CuP anode, but can be used for plating other metals by changing the anode and plating chemistry. Will work with any vendors chemistry. No Chemistry, No heater, needs1kw, 120v AC heater) Heater is not required for room temperature process such as Copper electroplating. Blanket wafer uniformity tested on 100mm wafers, yielding
200mm - 8" Polished EM Ultra Silicon Wafer - Lot of 21qty in case -USED
200mm- 8" Polished EM Ultra Silicon Wafer- Lot of 21qty in case-USED These are use and have patterns as shown in pictures. However they did leave the clean room so these are as is. I don't know a lot about them. They could be used for wall patterns as well as other art projects. I will make sure to package them good for shipping so they don't break. Please see pics and bid with confidence. Shipping may change couriers depending on the items weight and where it's going. I only ship with USPS and FedEx. I will provide you with a tracking number. If you live in Alaska or Puerto Rico USA please contact me about shipping costs before you bid. Usually it is different. If you have any questions feel free to send me a message. I only ship international through the global shipping program. If your not on it please don't bid. I ... morewon't ship it if you win and will cancel the transaction. I have a 100% feedback. HAPPY BIDDINGS!
H-Square Horizontal Wafer Transfer for 200mm 8in Wafer Cassette Carrier
Used in clean condition. Please note in the pictures there are chippings for the cassette guides but it should affect the function. As-is sale
Fused Quartz Furnace Vertical Wafer Boat 200mm TC-263-292-001 TOSCH 30” Tall
Fused Quartz Furnace Vertical Wafer Boat 200mm TC-263-292-001 TOSCH 33” Tall Please see our other items for the matching Tosch Fuzed Quartz Furnace Bell Jar. This item qualifies for eBay Fast N Free- 1 day handling and free domestic shipping with Fedex Ground- Home delivery to lower 48 USA. AK. HI, PR to pay actual shipping. We care enough to take multiple pictures of our items for sale and all pictures are of the actual item for sale. This item is ready to be shipped upon your purchase. Please email us if you have any questions on this item. All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 14 day not DOA satisfaction guarantee. zmw3-r7-s5_gsrx_vers_566(GS 6.7.1(566)
Framed 200mm wafer
I'm afraid I don't know much about the wafer other than it is 200mm. I received it some time ago after I did some consulting work with a semiconductor fab. It has been professionally mounted and framed on a blue background. Forgive my poor photography. I had a hard time capturing the iridescence of the wafer. It is primarily gold in color with the a beautiful array of colors when appearing when at different angles.
Nikon NSR-S204B Wafer Slider Loader, 200mm used working
This Nikon NSR-S204B wafer slider loader is used working surplus. This unit is was taken out of service in working condition and was stored in barrier bagging inside a crate. The physical condition of this unit is good and clean. System: Nikon NSR-204B Wafer Slider Loader. 200mm Wafer Size: 200mm Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. ... more90-Day Satisfaction Guarantee(See Details Below) Lister AZ5 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packag
Nikon NSR-S204B Wafer Loader 200mm used untested sold as is
This Nikon NSR-204B wafer loader is used untested surplus and is being sold as is. This unit was taken out of service in working condition. It was stored in barrier bagging inside a crate. And the physical condition is good and clean. However, since it has not been tested the wafer handler is being sold as is. System: Nikon NSR-204B. Wafer Loader, Wafer Size: 200mm Condition: Used Untested. Sold as Is Estimated Packed Shipping Dimensions: Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured ... moreserial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ5 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will
ETEC MEBES 4500 200mm Wafer Cassette, Direct Write, Ebeam Lithography, Used
ETEC MEBES 4500 200mm Wafer Cassette. Direct Write, Ebeam Lithography, Used Please read the following terms of sale carefully. These terms constitute a legally binding agreement(contract) between the seller and buyer. By bidding on. Or purchasing, the product posted in this eBay listing, the winning bidder agrees to and accepts all of the terms of sale as stated below. TERMS OF SALE: Only what is shown in the eBay photo and mentioned in the listing is included. Nothing else is included. All sales are final. Please understand that this is an auction/liquidation environment, and as such, all sales are as-is, with no warranty unless indicated in the individual listing. Photographs in the listing are usually the exact item being sold. Occasionally. When we have more than one of the same item, the photograph is representational of the lot, and ... morenot an image of the actual item being sold. WARRANTY: These are liquidation items and as such. Are not guaranteed. All of our items are coming out of our lab and are therefore used. Unless otherwise noted. All items are sold as-is. Where-is without warranty or guarantee, unless specified in the auction details. Likewise. ALL SALES ARE FINAL. Please ask all pertinent questions regarding the condition of the item before making your bid. We do not accept returns and will not refund payment based on the bidder’s incomplete understanding of the item description or not wanting the item after making a bid. PAYMENT: We accept payment by Paypal. And we only ship to the address which the buyer provides within the Paypal payment, no exceptions. If you are local and would like to pay by cash or cashier’s check. Please contact us BEFORE bidding to arrange. Buyers are given 7 days from winning bid to pay. And payment is expected of all winning bidders. If no payment is made within 7 days. An unpaid item reminder will be filed with eBay, and the buyer forfeits the auction win. We are required by state law to collect sales tax on all items shipping to a CA address. We will use the sales tax
Kokusai Vertron III Vertical Diffusion Furnace LPCVD 200mm Wafer Batch Size
Kokusai Vertron III Vertical Diffusion Furnace System comprised of 3 crates. All are professionally packed and ready to be shipped. Here is the information from manufacturer(not my description) Vertical Diffusion/LPCVD systems especially for 200mm wafers which are predominantly used in high-volume-semiconductor device manufacturing. Hitachi Kokusai Electric has shipped over 8000 systems. The VERTRON-III platform offers solid reliability and provides superior cost of ownership(Co0)to our customers. The VERTRON-III offers all conventional films as well as the unique processes of BTBAS Si 3 N 4. And Selective SiGe epitaxy. Dry-Ox. Wet-Ox, NO(N 2 O)Anneal. Well Diffusion, N 2(Ar. H 2)Anneal. PH 3-Anneal. Doped/Undoped-Poly-Si, Doped/Undoped-SiGe-Poly, Si 3 N 4. TEOS, HTO, High throughput by providing large batch size, High throughput by optional ... morefast ramp heater element while maintaining low thermal budget. Excellent yield and film thickness uniformity by optimized process conditions and clean air flow in loading area of the system. Maximum system uptime by self-cleaning capability. Minimum overhead time by reliable and speedy wafer handling mechanisms. Wafer handling robot can transfers 5 wafers simultaneously. High speed. Reliable and low vibration cassette loading mechanisms. Automatic filler dummy wafer supply by wafer detection mechanism. SEMI standard compliant wafer I/O stage, Effective space utilization of side-by side layout by side access free design, User friendly GUI tube controller(CX3000 series) which supports process logging data analysis capability. SECS/GEM compatibility for factory automation, here is the same additional info: Side-by-side fab placement w/ rear maintenance access 2 cassette auto cassette loader for manual or automated loading 8 cassette transfer/loading rack 8 cassette buffer rack for continuous batch processing(CBP) 5 vacuum tweezer variable pitch wafer transfer robot w/ single wafer pick'n'place feature Laminar flow HEPA filter loading environment 2 interacti
200mm Silicon Wafer Shipping or Storage Box - Wafer Boat
200mm Shipping/Storage Box. Wafer Boat CPL-802 Manufacturer: Kakizaki Condition: Used Capacity: 25 Dimensions: Approximately 9 X 10 X 11 inches
Lam Research/OnTrak DSS-200 Double Sided Wafer Cleaner/Scrubber 8" 200mm
Click Here. Double your traffic. Get Vendio Gallery- Now FREE! Lam Research/ OnTrak Systems DSS-200 Double Sided Wafer Scrubber/Cleaner(Series 0? Comes with what you see in the pictures. If you don't see it. You probably wont get it. Specifications are from a 3rd party and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. This unit was removed from service in working condition out of Intel. We do not have the expertise to formally test it and are selling it as-is. Inspection is recommended. The Unit's Serial Number Tag Reads(Tag on Card Cabinet and is Hard to Read) Model Number: DSS-200 Serial Number: 10061 Date of Manufacture: 12/9/95 Power Requirements: 120 VAC. 60 Hz, Single Phase For More Pictures Please Click the Following: $150.00 minimum for packaging. Handling, and order processing. Shipping ... moreto be determined by destination. Prior to shipment I need to know the following information: 1) Are you the end-user of this item? 2) If you are not the ultimate end-user of the item. Please state the ultimate end user's name. 3) What is the ultimate country destination? If you have any questions please call Michael at 1-866-MHZ-ELEC(1-866-649-3532) Toll Free. Also. Please to view or other auctions! 06/27/09 Powered by The free listing tool. List your items fast and easy and manage your active items.
Applied Materials AMAT 0040-37133 BELLOWS ASSY 200MM WAFER MOD 1 WITH PURG
Applied Materials AMAT 0040-37133 BELLOWS ASSY 200MM WAFER MOD 1 WITH PURG Applied Materials AMAT PN: 0040-37133 BELLOWS ASSY 200MM WAFER MOD 1 WITH PURG
Applied Materials AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
Applied Materials AMAT 0040-37134 200mm Bellows Assembly Wafer Mod Applied Materials AMAT PN: 0040-37134 200mm Bellows Assembly Wafer Mod
Kensington CSMT-4 Compact Wafer Sorting Mapping Tool Sorter 8" 200mm
Kensington Laboratories CSMT-4 Compact Wafer Sorting Mapping Tool. Multi-Station for 8" Wafers Comes with what you see in the pictures. If you don't see it. You probably wont get it. Specifications are from a third party and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. The unit was removed from service in working condition however there is no computer or UPS with the system. Because there is no computer and a lack of facility requirements. We do not have the expertise to formally test this item. The Main Unit's Serial Number Tag Reads: Model Number: CSMT-4 Serial Number: 96-156-01 Power Requirements: 120 VAC. 60 Hz, 15 A Fuse, 12 A The CSMT Tilt Arms and Scanner's Serial Number Tag Reads: Model Numbers: CSMT Tilt Arms Arm Serial Numbers: Qty. 4; 6193-06. 8061-06, 6193-08, 6193-10 ... moreScanner Serial Numbers: Qty. 4; 6193-05(w/6193-06) 8061-05(w/8061-06) 6193-07(w/6193-08) 6193-09(w/6193-10) The Wafer Handling Robot's Serial Number Tag Reads: Model Number: WFH4C TT/LR/HS Serial Number: 96-156-01 The OCR's Serial Number Tag Reads: Model Number: OCR Serial Number: 96-156-01 The Vacuum Manifold's Serial Number Tag Reads: Model Number: Vacuum Manifold Serial Number: 96-156-01 The Touchscreen's Serial Number Tag Reads: Model Number: Touchscreen Serial Number: 01-826-01 Description: The Kensington CSMT-4 Compact Wafer Sorting Mapping Tool is a multi-station wafer handler& sorter for 200mm wafers with 4 stations. It is designed to map. Sort, merges, splits, and randomize. It has a OCR system and is cleanroom compatible(Exceeds Class 1) with it's electropolished stainless cabinet. The stages are tilting ergonomic carriers, and the system contains a non-contact optical wafer prealigner for flats/notches. There is a built-in touchscreen color graphical user interface and a vacuum failsafe backup. The system usually contains a UPS but it was removed. The Servo Positioners are Kensington built, model 4000D. For More Pictures Please Click the Follow
Fluoroware H93-80 Wafer Shipper Container Trays 200mm, lot of 6
Up for auction today is a lot of six Fluoroware H93-80 200mm wafer shipping containers. Used. With some scratches and tape residue; all serviceable and undamaged. About Us: I sell surplus electrical and electronic equipment. Specializing in high voltage test equipment, Tesla coil parts, and electrical distribution/transmission equipment. Please see my other auctions for more insulators, HV parts, and weird science supplies- I always have something interesting and unusual! Terms: Domestic shipping at cost- I use USPS and FEDEX. For freight shipments. Items will be palletized and delivered to the local terminal at no additional charge. Crating is available for a fee. Combined shipping gladly. International buyers are welcome. Local pickup can be arranged for large items; please contact me before bidding if you plan to pick up an item. Note ... morethat I only charge actual shipping costs- no handling fees. Please contact if you have questions about shipping charges. Unless otherwise specified, no warranties or returns. Thank you in advance for your business!
Two MicroTool 200mm Electronic Level Wafer EL-2000 Rev A with test Wafer
There are 2 complete units with manuals and cords along with a 200mm test wafer. One unit has been taken apart(cone is not secured to the wafer below) and is not fully put back together. I am selling these together as is. Also please note that the case on one of the units is damaged and one side will not close properly.
200mm 8" Teflon Wafer Cassette A192-80M
Free shipping to 48 states. All others inquire! International shipping available. Thank you!
CRYSTALPAK 8 INCH 200MM WAFER CASSETTE/BOAT HS200-02 / EMPAK XS200-0401
EMPAK CRYSTALPAK 200MM/8" WAFER CASSETTE AND HOLDER PC(Polycarbonate) Construction provide strength and clarity. HS200-02 outer holder. EMPAK XS200-0401 25 slot insert for holding 25 wafers. Silicon rubber seal on lid to create tight seal. Unit is used but in nice shape.