Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
249.99
Buy It Now
Free Shipping
Condition: Used
Location: Phoenix, United States
Asyst PN: 3200-1114-01 SDE/SCI Load Port PCB Board. The board was removed from a load port that was scrapped due to contractual obligations. The leads ... morewere snipped so you will need to replace/solder those back in.
$
109.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: TAS300. This TDK TAS300 is used working surplus. The physical condition is good, but there are signs of previous use and handling.
$
4500.68
Buy It Now
Condition: Used
Location: Buda, United States
REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù. ... moreMěiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求。.
$
73.29
Buy It Now
$16.31 Shipping
Condition: Used
Location: Leander, United States
Manufacturer:Brooks Automation. Manufacturer: Brooks Automation. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with ... morethe manufacturer of this product. Original manufacturer's warranty does not apply.
$
4500.68
Buy It Now
Condition: New – Open box
Location: Boise, United States
REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson at getsparesllc. Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, ... moretā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ yāoqiú.
$
105.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Model No: TAS300. This TDK TAS-RIN11 is used working surplus. The physical condition is good, but there are signs of previous use and handling. These ... moreproducts are subject to export restrictions under U.S. law.
$
3508.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi LP12-II is used working surplus. The physical condition is good, but there are signs of previous use and handling. Rorze 2P Micro Step Drive ... morePart No: RD-023MS. Oriental Motor Part No: DFR1507.
$
2999.90
Buy It Now
Free Shipping
Condition: Used
Location: 구로구, South Korea
(4022.472.52472 STO CONN PANEL Top most 28.84. But this item is not tested. Sold as is. Sold as is). It was removed from machine of good condition. But ... morethe parts has minor scratch and used marks. Model Number : 4022.651.85972.
$
453.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Model No: Interface Connector. These products are subject to export ... morerestrictions under U.S. law. INTERNATIONAL EXPORT POLICY.
$
5313.65
Buy It Now
$2000.00 Shipping
Condition: Used
Location: South Korea
Manufacturer : SINFONIA. It is the fastest way to settle your concern or issue.
$
772.99
Buy It Now
$9.05 Shipping
Condition: Used
Location: Boise, United States
Brooks/Equipe/PRI (For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. Two-zero-eight-nine-eight-two- one-eight-six-two ... moreDirect Line. Nicole Jackson. ).
$
5225.00
Buy It Now
Condition: Used
Location: Goleta, United States
This is a lightly used LF generator & amplifier. 20 kHz to 3 MHz, up to 2,000 Watts  Digital Meter, measures forward and reflected power  Front Panel ... moreControl of Amplifier and Generator functions  Data acquisition: Status Monitoring & Power Measurement at Analog Port  RS232 communication: Full Control Of Amplifier & Generator Functions  AGC or Power Leveling: Gain Control to better than ±0.5 dB  Controllable internal DDS signal source  Pulse and Sweep of RF internal signal generator.
$
4900.00
Buy It Now
$399.79 Shipping
Condition: New
Location: Aurora, United States
Condition is New. All ports made of CF flanges except chamber front door sealed via dovetail groove & O-ring. Chamber Body Manufactured from 304 Stainless ... moreSteel Plates. Chamber top has two CF1.33” flanges for shutters & CF 2 ¾ “flange for motion.
$
18480.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: South Korea
As SEMI-GTECH Co.Ltd is not an Authorized Distributor of the products, manufacturers' warranties do not apply. All listed logos, trademarks or service ... moremarks are property of their respective owners. We are NOT Authorized Distributor of this item, Manufacturer's Warranty and Support DO NOT apply.
$
699.99
Buy It Now
Free Shipping
Condition: Used
Location: Pomona, United States
Pinnacle Specifications. Load regulation ±1% for 4:1 load change within V-1 limits of tap range. (from run to run at a constant set point). Output power ... morerepeatability 0.1% from 10% to 100% of rated power.
$
295.82
Buy It Now
$6.01 Shipping
Condition: Used
Location: Buda, United States
(See Hours of Operation, above). Gasonics L3510 Gasonics PEP-3510. Brooks/Equipe/PRI (For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, ... more3290,5240 and others. ).
$
4000.00
Buy It Now
Condition: New – Open box
Location: Hollister, United States
This Brooks Vision 186827-143 Load Port, is new surplus and is being sold as-is. The physical condition of the unit is good and clean. It was removed ... morefrom a Brooks 271542 JCP FRU EFEM. Removed from: Brooks 271542 JCP FRU EFEM.
$
1100.00
Buy It Now
$16.74 Shipping
Condition: Used
Location: Austin, United States
TDK TAS-MAIN Rev 6.10 A TAS-300 E84 Load Port Circuit Board - 80 Hrs On Unit. Cables are not included, they were cut to remove board.
$
950.00
Buy It Now
Condition: Used
Location: Freehold, United States
20 GHz Maximum frequency. 50 Ω Impedance.
$
104.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This TDK TAS-CNEXT is used working surplus. The physical condition is good, but there are signs of previous use and handling. These products are subject ... moreto export restrictions under U.S. law. INTERNATIONAL EXPORT POLICY.
$
88.99
Buy It Now
$8.99 Shipping
Condition: Used
Location: Goffstown, United States
TypeBackplane Interface Board PCB. CompatibilityTAS300 Load Port. Used, excellent condition.
$
453.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Model No: Modul E84/I. These products are subject to export restrictions ... moreunder U.S. law. INTERNATIONAL EXPORT POLICY.
$
750.00
Buy It Now
Free Shipping
Condition: Used
Location: Hollister, United States
This TDK TAS-MAIN Rev 6.10 A TAS-300 E4A Load Port Circuit Board, is used working surplus. The physical condition of the circuit board is good and clean. ... moreIt was removed from a TDK TAS-300 E4A load port.
$
750.00
Buy It Now
Free Shipping
Condition: Used
Location: Hollister, United States
This TDK TAS-MAIN Rev 6.20 A TAS-300 J1 Load Port Circuit Board, is used working surplus. The physical condition of the circuit board is good and clean. ... moreIt was removed from a TDK TAS-300 J1 load port.
$
480.00
Buy It Now
$120.00 Shipping
Condition: Used
Location: South Korea
Manufacturer : Brooks. It is the fastest way to settle your concern or issue.
$
99.00
Buy It Now
$8.70 Shipping
Condition: Used
Location: Salt Lake City, United States
TAS-CNEXT / LOAD PORT INTERFACE BOARD PCB / TDK TAS300. Condition is "Used".
$
3100.00
Buy It Now
Condition: Used
Location: 오산시, South Korea
Questions? Call us: 1-877-328-9236. Cymechs Duraport Load Port Module EFEM Front End Foup Ver. C 208VAC 78214-2. Manufacturer: Cymechs Model: Duraport ... moreCondition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Cymechs Condition: Used. This LPM is in Good cosmetic condition with minor blemishes from normal prior use. Notes: This was removed from a decommissioned tool and not tested separately. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Cymechs Duraport LPM, Specifications: Manufacturer: Cymechs Inc, Model: Duraport, 300mm Load Port/Front End Module, Power: 208VAC 1phase 50/60Hz 5A, Notable subassemblies Rockwell motor and motor drive, Foup Ver. C PCB, SKU: O33D019 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Orders shipped to Texas will incur State Sales Tax at a rate of 7.25% Shipping. This item will be shipped on a pallet via LTL Freight. Please fill out to receive a shipping quote. Buyer pays for all shipping costs. This cost includes professional packing& insurance. Handling time is two to three business days after receiving cleared payment. Transit time varies. Please contact us for details. DougDeals does not ship on buyer accounts or allow the bu
$
1999.99
Buy It Now
Condition: Used
Location: Eden Prairie, United States
Our inventory is always changing, so be sure to check back often to see what we have available. F3 = Key Functions Working (Appendix C – Test and Repair). ... moreA subset of the primary functions of the device that an ordinary user of the device expects to function are verified working through manual or software tests.
$
425.68
Buy It Now
$9.30 Shipping
Condition: Used
Location: Buda, United States
REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Zh ngy o de! R guǒ m iyǒu zh xiē x nxī, tā jiāng b i hǎiguān k n zh. ... moreMěigu fǎlǜ yāoqi. 重要的!!!如果没有有效的 电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
3500.00
Buy It Now
$350.00 Shipping
Condition: Used
Location: Israel
If we have made a mistake we do apologize, we are only human.
$
2300.68
Buy It Now
Condition: Used
Location: Buda, United States
Search our eBay Store! Brooks Automation 300mm Wafer Cassette Load Port Transfer Handler 002-7200-32 SKU: JV-HAN-C-BRKS0027200 Condition: For parts or ... morenot working Packaging: Pallet/Skid Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge New Page 1 Brooks Automation 300mm Wafer Cassette Load Port Transfer Handler 002-7200-32 BEING SOLD AS-IS FOR PARTS OR REPAIR. P/N: 002-7200-32. Components Installed: Pod Clamp. Paddle. Shuttle. Controller Board 002-6878-06. Physical Condition: Good- Minor scuffs/scratches on exterior. Functionality/Degree of Testing: We do not have the necessary resources to test this unit. Power adapter is not included. Dimensions(L" x W" x H" 18⅝ x 23½ x 54¼ BEING SOLD AS-IS FOR PARTS OR REPAIR. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase i
$
230.68
Buy It Now
$24.23 Shipping
Condition: Used
Location: Boise, United States
REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù. ... moreMěiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
1710.00
Buy It Now
Condition: Used
Location: Goleta, United States
Brooks Automation 450 Vision 176334-04 Load Port Module w/ FOUP 450mm Wafer (5703) c. Includes: LPM, FOUP, Carbon Fiber Dummy Wafers. Manufacturer: Brooks. ... moreModel:450 Vision Load Port Module. Taken from Jet system that was most likely never used and was manufactured in 2012.
$
104.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Model No: TAS300. This TDK TAS-RIN8 is used working surplus. The physical condition is good, but there are signs of previous use and handling. These products ... moreare subject to export restrictions under U.S. law.
$
193.55
Buy It Now
$120.00 Shipping
Condition: Used
Location: South Korea
Manufacturer : BROOKS. It is the fastest way to settle your concern or issue.
$
1200.00
Buy It Now
$23.40 Shipping
Condition: Used
Location: Schenectady, United States
Green Impact. Everything included is shown in the photos. If there are multiple available for sale you may not receive the one photoed, but they are all ... morein similar condition.
$
4500.68
Buy It Now
Condition: Used
Location: Boise, United States
REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù. ... moreMěiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
110.99
Buy It Now
$9.05 Shipping
Condition: Used
Location: Boise, United States
Two-zero-eight-nine-eight-two- one-eight-six-two Direct Line. Gasonics L3510 Gasonics PEP-3510. Brooks/Equipe/PRI (For AG Associates 4100, KLA UV1250, ... moreKLA UV1280, Thermawave 2600, 3290,5240 and others. ).
$
75.00
Buy It Now
$25.00 Shipping
Condition: Used
Location: Israel
All our inventory is in Israel.
$
305.91
Buy It Now
$38.00 Shipping
Condition: Used
Location: Singapore
Pull from TDK Wafer FOUP Load Port. HA-033 board dc-dc converter PCMK-g3x cva PHA-033-0. Industrial Single Board Computers. Singapore 608586. #09-101 ... moreEnterprises Hub. Industrial Sol Pte Ltd. Credit Cards.
$
2499.99
Buy It Now
Condition: Used
Location: Eden Prairie, United States
Our inventory is always changing, so be sure to check back often to see what we have available. F3 = Key Functions Working (Appendix C – Test and Repair). ... moreA subset of the primary functions of the device that an ordinary user of the device expects to function are verified working through manual or software tests.
$
150.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Model No: TAS300. This TDK TAS-LED is used working surplus. The physical condition is good, but there are signs of previous use and handling. These products ... moreare subject to export restrictions under U.S. law.
$
3999.00
Buy It Now
Condition: New – Open box
Location: Hayward, United States
$
4800.00
Buy It Now
$3000.00 Shipping
Condition: Used
Location: South Korea
Model : TAS300 E4. Manufacturer : TDK. It is the fastest way to settle your concern or issue.
$
2499.90
Buy It Now
Free Shipping
Condition: Used
Location: 구로구, South Korea
Manufacturer : ASML. 4022.472.52472 STO CONN PANEL Top most 29.08. Sold as is). This parts has minor scratch and used marks. Model Number : 12NC 4022.667.58681. ... more· EMS : Commonwealth of Independent States (CIS-Russia, Ukraine….
$
2306.16
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
Model No: 300FL, S2.1, 25WFR. Unit is missing front cover, rear actuator covers, laser sensor, and rear PCB's. The physical condition is good, but there ... moreare signs of previous use and handling. This item is being sold As-Is.
$
3777.95
Buy It Now
$3000.00 Shipping
Condition: Used
Location: South Korea
Manufacturer : Brooks Automation. It is the fastest way to settle your concern or issue.
$
135.00
Buy It Now
$9.45 Shipping
Condition: Used
Location: Schenectady, United States
Model:TAS-IN14 Rev 3.00. Green Impact. Everything included is shown in the photos. If there are multiple available for sale you may not receive the one ... morephotoed, but they are all in similar condition.
$
3499.90
Buy It Now
Free Shipping
Condition: Used
Location: 구로구, South Korea
(Manufacturer : ASML. 4022.472.52472 STO CONN PANEL Top most 29.02. Model Number : 4022.667.92934. Sold as is). This parts has minor scratch and used ... moremarks. More detail But this item is not tested.
$
3499.90
Buy It Now
Free Shipping
Condition: Used
Location: 구로구, South Korea
Manufacturer : ASML. 4022.472.52472 STO CONN PANEL Top most 29.20. Model Number : 4022.667.92934. Sold as is). This parts has minor scratch and used marks. ... more12NC 4022.667.58681. · EMS : Commonwealth of Independent States (CIS-Russia, Ukraine….
$
4997.54
Buy It Now
Condition: Used
Location: Boise, United States
Search our eBay Store! Brooks Automation 300mm Wafer Cassette Load Port Transfer Handler 002-7560-03 SKU: JV-HAN-C-002BROOKS Condition: For parts or not ... moreworking Packaging: Pallet/Skid Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge New Page 1 Brooks Automation 300mm Wafer Cassette Load Port Transfer Handler 002-7560-03. P/N: 002-7560-03. Physical Condition: Good- Minor scuffs/scratches. Functionality/Degree of Testing: We do not have the necessary resources to test this unit. Power adapter is not included. Dimensions(L" x W" x H" 16 x 18¾ x 55. BEING SOLD AS-IS FOR PARTS OR REPAIR. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Ple
$
4999.00
Buy It Now
$1599.00 Shipping
Condition: Used
Location: Israel
Actual Picture of the item is provided. What you see in the picture(s) is what you get.
$
1000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
This lot includes a pair of Brooks Automation Load Port Wafer Boat Loader Robots (p/n 127000-123), as shown. However, I have no way of powering it up ... moreor testing them, and they are being sold as-is. 95020 (408)886-3700.
$
5500.00
Buy It Now
Condition: Used
Location: South Korea
Model: TAS300. ● TDK Load Port.
$
34999.00
Buy It Now
Condition: Used
Location: Singapore
Type: Wafer Transfer Robot System. Brooks Automation VISION 173222-01 Wafer Load Port. Brooks Automation 002-7560-03 Wafer Cassette Load Port. Make: Brooks ... moreAutomation. Brooks Automation 100903 Pre-aligner.
$
3508.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi LP12-II is used working surplus. The physical condition is good, but there are signs of previous use and handling. Rorze 2P Micro Step Drive ... morePart No: RD-023MS. Oriental Motor Part No: DFR1507.
$
5225.00
Buy It Now
Condition: Used
Location: Goleta, United States
This is a lightly used LF generator & amplifier. 20 kHz to 3 MHz, up to 2,000 Watts  Digital Meter, measures forward and reflected power  Front Panel ... moreControl of Amplifier and Generator functions  Data acquisition: Status Monitoring & Power Measurement at Analog Port  RS232 communication: Full Control Of Amplifier & Generator Functions  AGC or Power Leveling: Gain Control to better than ±0.5 dB  Controllable internal DDS signal source  Pulse and Sweep of RF internal signal generator.
$
3506.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This PRI Automation GATEWAY-1000-CE-S2 is used working surplus. The physical condition is good, but there are signs of previous use and handling. Model ... moreNo: GATEWAY 1000.
$
464.98
Buy It Now
Free Shipping
Condition: Used
Location: Singapore
If it's not in the pictures, it's probably not included.
$
1800.00
Buy It Now
$66.82 Shipping
Condition: Used
Location: Saint Petersburg, United States
There are a pair of modest size scratches on the two roughing conflat ports.
1 2 3 4 5
 In 

Former Listings  
 
TWO TDK TAS 300 LOAD PORT MACHINES
WE ARE OFFERING AS A LOT OF TWO.THESE TWO TDK MODEL TAS 300 LOAD PORT MACHINES,THESE ARE QUITE POSSIBLY NEW,THESE DO NOT APPEAR TO HAVE EVER BEEN USED HOWEVER,WE ARE FORCED TO OFFER THESE AS USED BEING AS WE CANNOT VERIFY THAT FACT,WE WILL CAREFULLY SECURE AND WRAP THESE ON AS SKID THAT WILL MEASURE 48 X 48 X 50 TALL AND WILL WEIGH APPROX.300 LBS READY FOR SHIPPING WE CANNOT GIVE FREIGHT QUOTES BUT WE PROVIDE YOU BELOW WITH THE EMAIL AND NUMBER TO A GOOD MAN WHO DOES. GLOBAL SURPLUS MACHINERY We are a large surplus dealer of new and used equipment located at 1729 N 2nd Street. Minneapolis, MN 55411 Stop in or contact us to see thousands of other pieces of surplus equipment! 612-302-9469 Check out our eBay partner"Global-Machinery" for MANY MORE items! Our items are sold strictly as-is. Untested. Unless otherwise noted in the description. ... moreEven on tested items, we cannot offer any guarantee or warranty. We do not accept returns or issue refunds. However, if you do happen to experience a problem with your item, please contact us immediately. We are a small company that can work with you to immediately address your issue. No automated phone system here! You can ALWAYS pick your item up at our warehouse instead of having to freight or ship it! IMPORTANT-SHIPPING POLICY- IMPORTANT! If your item is large/heavy enough to warrant freighting. That's how it must leave our warehouse, unless you pick it up yourself. Freight can be expensive sometimes, especially on very large and heavy items: IF you have a PROBLEM spending the money required to ship your item, DO NOT BID! We cannot offer you any better rates than what you can obtain yourself. For freight inquiries. You MUST contact Scott Cyrus at UTI Transport Solutions located in Silverado, CA. Tel: 714-710-9078 or Scyrus(AT)go2uti(DOT)com- PLEASE NOTE that you will have to remove the parentheses and make the AT and DOT into the respective symbols when you try to reach him. We will box. Wrap, and/or palletize and load from our dock for free! FOB: Mpls. MN 5
Applied Materials AMAT TDK TAS 300 FOUP F1 Load Port TAS300 300mm Loader
TDK TAS 300 FOUP Load Port Type F1. 100-240V, 50/60Hz, 1A FLA. Shipping dimensions: 40"x 64"x 30" includes crate. Prepared for international freight] Six units are available. Offer is per unit. Contact Justin at 503.464.6013 with any questions. The unit is located in Portland. OR. WORLDWIDE SHIPPING AND PACKAGING COSTS TO BE DETERMINED BY DESTINATION. Please read the terms and conditions below so that you understand how all our items are sold. Payment Options: ALL PAYMENTS ARE EXPECTED WITHIN 48 HOURS OF AUCTION CLOSE. WE UNDERSTAND THAT THERE ARE EXCEPTIONS. BUT COMMUNICATION IS KEY. PLEASE DO NOT BID IF YOU CANNOT PAY FOR THIS ITEM IN A TIMELY MANNER. Shipping Options: Smaller Items: Minimum shipping charge of $15.00. Items over $500. Must be insured at the buyers expense of $0.50, per $100. Freight Items: The buyer is responsible ... morefor coordinating shipment and is responsible for all freight and rigging(if required) costs. We can arrange freight shipments. You will be billed exactly as quoted by my freight forward. With no mark-up, however at times this may be more than the cost of the actual item. Please ask for a quote prior to bidding, so there are no surprises. Freight items typically require payment by bank wire transfer or certified funds, since shipments and delivery confirmations typically do not conform with Paypal requirements. Local Pick-Ups: This option is available on many auctions. However we are not always on-site where the item is located. Please inquire before bidding. Typically there will be no additional charge for a local pick-up. However some larger items may require an additional rigging fee upon pick-up. Terms and Conditions: Most items that we sell are from plant closures and business liquidations. We typically wholesale directly from the facility closure. This means the items are still or have very recently been in production and under power. We strive to only sell quality items and do the best job possible in describing the items accurately. However. Many of these items h
Asyst SMIF-300FL Front-Load Port FA 300FL / Wafer Processing
Item up for sale is a: Asyst Model SMIF-300FL Front Load Port Wafer Processing The SMIF-300FL. Herein referred to as the Front-Load, is a wafer load port for presenting a cassette of 300mm wafers to the process tool while maintaining a better than Class 1 cleanroom environment for the wafers. Specifications: Wafer Size: 300mm Height: 1385mm(54.53" Width: 470mm(18.5" Depth: Front of Pod Advance Plate to BOLTS Interface Plane: 463mm(18.2" Reserved Door Opening/Closing Space Per BOLTS: 100mm(3.93" Mounting: Front-Load is designed to directly mount onto a Process Tool having a SEMI E63(BOLTS) interface. FOUP Load Height: 900mm(35.43" Horizontal Datum Plane Laser(Wafer Mapping Option) Diode Laser Wavelength: 800nm Laser Power: 0.2mW(Class 1) Door Speed: 3 inches/sec. 76.2 mm/sec) OPEN/CLOSE Power: 24 VDC(+10% 5% from external ... moresource. 1.5 Amp standby, 3.5 amp max. Communications: Serial RS-232C(SECS I/ SECS II/ ASCII) Parallel Carrier Types: 300mm 25-Wafer FOUP(Front Opening Unified Pods) Environmental: Operating Temperature: 10°C to 40°C Operating Humidity: 10 to 75% Storage Temperature: 10°C to +55°C Storage Humidity: 5 to 90% non-condensing Cleanliness: Particles per wafer Pass(PWP) 0.009 particles greater than 0.09µm Compliance: Front-Load is CE certified to directives 89/392/EEC and 89/336/EEC and Complies with S2-93A Safety Guidelines. This item is NOT tested unless otherwise noted. If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. 150 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. Payment options: PayPal only or contact us for payment methods to pay on pickup. Warranty for US shipments: 14 days testing period unless the auction specified as final sale. Buyer must return the product back to the company i
Brooks Automation 300mm Vision Load Port LPM Semiconductor FOUP FOSB Module
You are bidding on: Brooks Automation 300mm Vision Load Port LPM Semiconductor FOUP FOSB Module In like new condition from Intel fab. FREE LOCAL PICK UP This is large and very heavy item. Item is on tow pallets and will need to be picked up from CA. 95051 Condition: Used. Please Note the following: 1. 30 days warranty. 2. If you have any questions regarding this item. Please contact me_before_ bidding. Other Notes of Interest Shipments: All items will ship within 1-2 days of payment receipt. International Buyers– Please Note: Import duties. Taxes, and charges are not included in the item price or shipping cost. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying.
Brooks Automation Fixload 6M 300mm Wafer Load Port Transfer Handling PARTS #2
Search our eBay Store! Brooks Automation Fixload 6M 300mm Wafer Load Port Transfer Handling PARTS#2 SKU: JV-DAN-C-FIX6PARTS2 Condition: For parts or not working Packaging: Pallet/Skid Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are only included if pictured or listed in description. If in doubt, please contact us before purchasing. Click an Image to Enlarge Brooks Automation Fixload 6M 300mm Wafer Load Port Transfer Handling Unit AS-IS FOR PARTS OR REPAIR. Model: 6M. Type: Load Port. Physical Condition: Unit appears to be in non-working physical condition. Cosmetic scuffs/scratches on unit’s body. We do not have the necessary resources to test this unit. Dimensions(L" x W" x H" 52 x 25 x 18 ½ BEING SOLD AS-IS FOR PARTS ... moreOR REPAIR. Manufacturer's Description(for informational purposes only) Brooks-PRI's FIXLOAD 6 M next-generation load port incorporates the expertise gained from a worldwide installed base of nearly 6.000 FIXLOADs, and builds upon this success using a continuous-improvement design philosophy. This effort has produced a load port that provides maximum reliability, the industry's fastest wafer-access time, ultra-clean operation, optimized load port-to-FOUP interoperability and all the features and options required by end users. The FIXLOAD 6 M is fully compliant to relevant SEMI standards and is completely backward compatible. All parts. Including accessories and cables are only in cluded if pictured or listed in the description. All pictures are taken in house. If you require more information. Please contact the manufacturer and/or research online. As a large liquidator, we do not carry additional information beyond what has been provided to us above. This FDA DISCLAIMER is for MEDICAL DEVICES only and not for all our products: The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so.
RECIFSA F0300B03 Load Port 0119 Nikon NSR-S204B Wafer Loader working
This RECIFSA F0300B03 load port is used working surplus. The physical condition of the load port is good and clean. But may have some minor scratches from use and handling. It was removed from a Nikon NSR-S204B wafer loader. RECIFSA Load Port Model No: F0300B03 Serial No: 0119 Date: 2000 Made in France Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Requires Freight Shipping. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be ... moresent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona
TDK TAS300 TAS-IN8 FROM TDK TAS300 LOAD PORT
TDK TAS300 TAS-IN8 FROM TDK TAS300 LOAD PORT PLEASE. LOOK IN PICTURES, YOU GET EXACTLY WHAT YOU SEE.
TDK TAS300 POWER SUPPLY 02TF2082 FROM TDK TAS300 LOAD PORT
TDK TAS300 POWER SUPPLY 02TF2082 FROM TDK TAS300 LOAD PORT PLEASE. LOOK IN PICTURES, YOU GET EXACTLY WHAT YOU SEE.
TDK TAS300 TAS-RIN8 FROM TDK TAS300 LOAD PORT
TDK TAS300 TAS-RIN8 FROM TDK TAS300 LOAD PORT PLEASE. LOOK IN PICTURES, YOU GET EXACTLY WHAT YOU SEE.
TDK TAS300 TAS-LED, TAS-RIN16 FROM TDK TAS300 LOAD PORT
TDK TAS300 TAS-LED. TAS-RIN16 FROM TDK TAS300 LOAD PORT PLEASE. LOOK IN PICTURES, YOU GET EXACTLY WHAT YOU SEE.
NEW Brooks Fixload 6 EFEM FOUP Load Port Wafer Pod Front Loader 013096-761-20
Questions? Call us: 1-877-328-9236. NEW Brooks Fixload 6 EFEM FOUP Load Port Wafer Pod Front Loader 013096-761-20. Manufacturer: Brooks Model: Fixload 6 Condition: Used Price: Cosmetic appearance may vary slightly from pictured unit(s) Manufacturer: Brooks Condition: Used. This load port is in Good cosmetic condition with only very minor-to-no cosmetic blemishes from prior use. Notes: These were removed from a decommissioned tool and not tested separately. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Brooks Fixload 6 Loader, Specifications: Manufacturer: Brooks Automation, Type: FIXLOAD 6, 300mm wafer load port, Fast wafer access(6 seconds) Highest cleanliness, Field proven reliability, Easiest maintenance access, Subassembly: Type: TBM. Subtype: L, Part No. 013096-761-20, Mounting bracket ... moreincluded, SKU: O14D023 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Orders shipped to Texas will incur State Sales Tax at a rate of 7.25% Shipping. This item will be shipped on a pallet via LTL Freight. Please fill out to receive a shipping quote. Buyer pays for all shipping costs. This cost includes professional packing& insurance. Handling time is two to three business days after receiving cleared
TDK TAS300 E4 KoMiCo Sosul Load Port
This TDK TAS300 E4 load port is used working surplus. The physical condition of the load port is good and clean. Model No: TAS300 Type: E4 Made In Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Freight Shipping Required Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ5 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am ... moreto 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may
Shinko Electric SELOP12F25S2220-1 Foup 300mm Load Port for PARTS/Incomplete
Questions? Call us: 1-877-328-9236. Shinko Electric SELOP12F25S2220-1 Foup 300mm Load Port for PARTS/Incomplete. Manufacturer: Shinko Electric Industries Model: SELOP12F25S2220-1 Condition: For Parts or Not Working Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Shinko Electric Industries Condition: For Parts or Not Working. This loader is in Good cosmetic condition. Aside from the missing covers and other pieces. We are not sure what-all may be required to make this a 100% complete unit. Notes: This was removed from a decommissioned system and not tested separately. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Shinko SELOP12F25S2220-1 Load Port, Specifications: Manufacturer: Shinko Electric, Type: SELOP12F25S2220-1, Circuit board markings: Dynax Corp. F104-IO/1 ... moreDNX5191, Shinko P/N: SBX93-100023-12. SBX08-00016-11 SLPCN1, Power: 24VDC, SKU: O20D010 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Orders shipped to Texas will incur State Sales Tax at a rate of 7.25% Shipping. This item will be shipped on a pallet via LTL Freight. Please fill out to receive a shipping quote. Buyer pays for all shipping costs. This cost includes professional packing& insurance. Han
TDK S2091-86-001 Load Port TAS300 AMAT 0190-17837 Copper Exposed Used Working
This TDK S2091-86-001 is used working surplus. The physical condition is good. But there are signs of previous use and handling. Part No: S2091-86-001 Model No: TAS300 AMAT No: 0190-17837 Input: 100-240V. 50/60Hz, 1A Interrupt Capacity: 5kA@ 400V Copper Exposed CE Marked Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 60"x24"x24" 350 lbs.Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number, date or country ... moreof manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 12 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely package
Sinfonia Technology SELOP12F25-S7AM001 Load Port Used Working
This Sinfonia Technology SELOP12F25-S7AM001 Load Port is used working surplus. The physical condition is good. But there are panels missing from the unit. Part No: SELOP12F25-S7AM001 24VDC Made in Japan, Condition: Used Working. 90 Day Warranty, Estimated Packed Shipping Dimensions: L x W x H = 60"x24"x24" 350 lbs.Requires Freight Shipping. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number, date or country of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction ... moreGuarantee(See Details Below) Lister 11 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipp
Shinko Electric SELOP12F25-S7A006 Load Port As-Is
This Shinko Electric SELOP12F25-S7A006 Load Port is used surplus. The physical condition is good. But there are panels and screws missing from the unit. There are some electronic components missing as well. Unit will be sold as-is. Part No: SELOP12F25-S7A006 24VDC Made in Japan Sold As-Is, Condition: Not Working. Sold As-Is, Estimated Packed Shipping Dimensions: L x W x H = 60"x24"x24" 350 lbs.Requires Freight Shipping. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number, date or ... morecountry of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 11 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packag
Sinfonia Technology SELOP12F25-S7A0202 Load Port AMAT 0190-39600 As-Is
This Sinfonia Technology SELOP12F25-S7A0202 Load Port is used surplus. The physical condition is good. But there are panels and screws missing from the unit. The wafer load plate is missing. Unit will be sold as-is. Part No: SELOP12F25-S7A0202 AMAT No: 0190-39600 24VDC Made in Japan Sold As-Is, Condition: Not Working. Sold As-Is, Estimated Packed Shipping Dimensions: L x W x H = 60"x24"x24" 350 lbs.Requires Freight Shipping. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number, date ... moreor country of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 11 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely p
TDK S2091-86-001 Load Port TAS300 AMAT 0190-16691 Type F1 As-Is
This TDK S2091-86-001 is used surplus. The physical condition is good. But there are panels and screws missing from the unit. Unit will be sold as-is. Part No: S2091-86-001 Model No: TAS300 Type: F1 AMAT No: 0190-16691 Input: 100-240V. 50/60Hz, 1A Interrupt Capacity: 5kA@400V CE Marked Made in Japan Sold As-Is, Condition: Not Working. Sold As-Is, Estimated Packed Shipping Dimensions: L x W x H = 60"x24"x24" 350 lbs.Requires Freight Shipping. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial ... morenumber, date or country of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 11 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items w
TDK TAS300 Load Port Type H1 used working
This TDK TAS300 load port is used working surplus. There are some minor scuff marks to the outer housing. Otherwise this unit is in like new condition. Model: TAS300 Type: H1 Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ5 Can't find the answer you're ... morelooking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling
Asyst 9750-0039-01 Load Port 300FL, S3 STD, KT08 Rev 003 w/ Linkmanager working
This Asyst 9750-0039-01 load port with link manager is used working surplus. There are some minor scratches. Scuff marks, and dents to the outer paneling. Otherwise the physical condition of this unit is good and clean. Model: 300FL. S3 STD, KT08 Part No: 9750-0039-01 Revision: 003 Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day ... moreSatisfaction Guarantee(See Details Below) Lister AZ5 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged
Asyst 9700-5158-03 SMIF-300FL Load Port 300FL, S2.1 25WFR Rev A used working
This Asyst 9700-5158-03 load port is used working surplus. There are some minor scratches. Scuff marks, and dents to the outer paneling. Otherwise the physical condition of this unit is good and clean. Model: 300FL. S2.1 25WFR Part No: 9700-5158-03 Revision: A Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See ... moreDetails Below) Lister AZ5 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged or palletized and ship
Asyst 9750-0039-01 Load Port 300FL, S3 STD, KT08 Rev 003 missing panel working
This Asyst 9750-0039-01 load port with is used working surplus. The front bottom panel is missing and the are some minor scratches to the outer housing. Otherwise the physical condition of this unit is good and clean. This load port is not fitted with an Asyst Linkmanager. Model: 300FL. S3 STD, KT08 Part No: 9750-0039-01 Revision: 003 Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number ... moreis not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ5 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES
Asyst 9700-9129-01 Load Port IsoPort Rev J missing I/O PCB sold as-is
This Asyst 9700-9129-01 IsoPort load port is used working surplus. The top I/O PCB is missing and there are some minor scratches and scuff marks to the outer housing. Otherwise the physical condition of this unit is good and clean. Model: IsoPort Part No: 9700-9129-01 Revision: J Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day ... moreSatisfaction Guarantee(See Details Below) Lister AZ5 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged or
Asyst 9700-9129-01 Load Port IsoPort Rev K scratched missing PCB sold as is
This Asyst 9700-9129-01 load port is used untested surplus and is being sold as is. The top interface PCB is missing and there is a moderate amount of scratches and scuff marks to the outer housing. Otherwise the physical condition of this unit is fair an clean. Model: IsoPort Part No: 9700-9129-01 Revision: K Condition: Used Untested. Sold As-Is Estimated Packed Shipping Dimensions: L x W x H = Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the ... moreone that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ5 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items w
Hirata HPC-778 Circuit Board Hirata KWF-12C-M-HE-IN-X Load Port used working
This Hirata HPC-784A circuit board is used working surplus. The physical condition of this unit is good and clean. This unit was pulled from a Hirata KWF-12C-M-HE-IN-X Load Port. System/ Tool: Hirata KWF-M-HE-IN-X Part Number: HPC-778 Made In Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 12” x 12” x 6” 3-4 lbs Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details ... moreBelow) Lister AZ6 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged or palletized and shipped via the b
Micro Tool LP-3003 Load Port Gauge Checker AMAT 7100-14339 Used
This Micro Tool LP-3003 Load Port Gauge Checker is used working surplus. The physical condition is good. But there are signs of previous use and handling. There are several scratches and dents on the panels of this item, see pictures. Part No: LP-3003 Model No: Align 300 AMAT No: 7100-14339 12V. 1A CE Marked Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 18"x18"x18" 40 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number, date or country ... moreof manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 12 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged
Brooks Automation 002-7200-08 Wafer Load Port
Brooks Automation 002-7200-08 Wafer Load Port Has general signs of normal wear and tear which may include scratches. Scuffs, dirt, sticker residue etc on the exterior casing. PLEASE REFER TO PICTURES. Unit does not come with accessories unless noted in pictures. Brooks Automation PN: 002-7200-08 Wafer Load Port Condition: Used. Untesed. Estimated Packed Shipping Weight: 150 lbs. Notice Regarding Freight: Please wait for an invoice with shipping charges. Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 14-Day Satisfaction Guarantee(See Details Below) Lister: CL Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 4:00 pm(PST) Monday to Friday ... moreWe are closed on Weekends and all major US holidays. Warehouse Delivery Hours: Will-Call Hours: 8:00 am to 3:00 pm(PST) Monday to Friday 8:00AM- 12:00PM(PST) 12:30PM- 3:30PM(PST) Monday to Friday. Email Address: Contact via eBay messaging system, Phone: 408-762-7282, Fax: 408-283-9004, Physical/Mailing Address: Prism Electronics Corp. 18305 Sutter Blvd. Morgan Hill. CA 95037 USA Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards Through PayPal. And Bank Wire Transfers in USD(There is a $25 wire transfer fee) Will-Call items must be paid in full by PayPal or cash with exact change on pickup. We cannot process the order until we receive tax from shipping address within California or a copy of your California Resale Certificate A 8.75% Sales Tax applies to all purchases in CALIFORNIA. All items will be securely packaged or palletized and shipped via the best and most economical way possible. We typically ship within 1 business day however. Under certain circumstances please allow up to 5
Cymechs Duraport 300mm Load Port 208V 1phase EFEM Front End Module FOUP
Questions? Call us: 1-877-328-9236. Cymechs Duraport 300mm Load Port 208V 1phase EFEM Front End Module FOUP. Manufacturer: Cymechs Model: Duraport Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Cymechs Condition: Used. This EFEM is in Good cosmetic condition with minor blemishes from normal prior use. Notes: This was removed from a decommissioned tool and not tested separately. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Cymechs Duraport EFEM, Specifications: Manufacturer: Cymechs Inc, Model: Duraport, 300mm Load Port/Front End Module, Power: 208VAC 1phase 50/60Hz 5A, Notable subassemblies Rockwell motor and motor drive, Mitsubishi FX2N PLC, Brooks flow controller, SKU: O33D002 For questions regarding this item. Please reference the SKU to ... morehelp us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Orders shipped to Texas will incur State Sales Tax at a rate of 7.25% Shipping. This item will be shipped on a pallet via LTL Freight. Please fill out to receive a shipping quote. Buyer pays for all shipping costs. This cost includes professional packing& insurance. Handling time is two to three business days after receiving cleared payment. Transit time varies. Please contact us for details. DougDeals does not ship on buye
Brooks Automation Fixload 6 Wafer Load Port / 701-0401-01 TBM
Brooks Automation Fixload 6 Wafer Load Port/ 701-0401-01 TBM Pulled from a professional working environment. I have four of these units. One of them is not fully assembled. They are all in really nice condition and I have them wrapped in plastic to keep them free of contaminants. Tested for Full Functions. R2/Ready for Resale Please Note: if you receive an item(DOA Dead On Arrival OR DAMAGED IN TRANSIT. We will appreciate if you send us a message and on the topics please choose"Details Or Other" but please don't open an eBay/Paypal claim before giving me the chance to resolve the issue. We reserve the rights to not honor any additional request after the transaction is completed. So please ask any question you might have before you hit“Buy It Now” button. Payment Options: We Prefer PayPal For Credit Cards please Contact Us, Shipping: ... moreShipping to United States: We ship FedEx and USPS. Alaska. Hawaii, And Puerto Rico require additional shipping charges. International shipments must be shipped via USPS Express or Priority Mail. If shipping to a PO Box you MUST select Priority Mail shipping option. Buyer’s please make sure your shipping information is FULL and correct. We require a Telephone# for all international shipments. We do not accept responsibility for lost or stolen items as a result of poor or unsafe shipping information. Buyer is fully responsible for all customs duties. Taxes, and shipping. International returns require buyer to cover all shipping costs. We do not mark Items as gifts or lower their custom values. We are a Business and we cannot do that. You will receive tracking information at the email tied to your ebay account. Tracking information will also be updated in ebay once the shipment is processed. Standard shipping = First Class Mail. Unless otherwise noted) Ground Shipping = FedEx Ground Shipping Expedited Shipping = USPS Priority Mail 2day Shipping = FedEx 2day shipping, Return: 14 DAY RETURN POLICY: We want our Customers to be happy with their purchases. So customers are welco
Asyst IsoPort 300mm Wafer Load Port *Great Condition*
Asyst IsoPort 300mm Wafer Load Port*Great Condition* Pulled from a professional working environment. Guaranteed non-DoA. Tested for Full Functions. R2/Ready for Resale Please Note: if you receive an item(DOA Dead On Arrival OR DAMAGED IN TRANSIT. We will appreciate if you send us a message and on the topics please choose"Details Or Other" but please don't open an eBay/Paypal claim before giving me the chance to resolve the issue. We reserve the rights to not honor any additional request after the transaction is completed. So please ask any question you might have before you hit“Buy It Now” button. Payment Options: We Prefer PayPal For Credit Cards please Contact Us, Shipping: Shipping to United States: We ship FedEx and USPS. Alaska. Hawaii, And Puerto Rico require additional shipping charges. International shipments must be ... moreshipped via USPS Express or Priority Mail. If shipping to a PO Box you MUST select Priority Mail shipping option. Buyer’s please make sure your shipping information is FULL and correct. We require a Telephone# for all international shipments. We do not accept responsibility for lost or stolen items as a result of poor or unsafe shipping information. Buyer is fully responsible for all customs duties. Taxes, and shipping. International returns require buyer to cover all shipping costs. We do not mark Items as gifts or lower their custom values. We are a Business and we cannot do that. You will receive tracking information at the email tied to your ebay account. Tracking information will also be updated in ebay once the shipment is processed. Standard shipping = First Class Mail. Unless otherwise noted) Ground Shipping = FedEx Ground Shipping Expedited Shipping = USPS Priority Mail 2day Shipping = FedEx 2day shipping, Return: 14 DAY RETURN POLICY: We want our Customers to be happy with their purchases. So customers are welcome to return items within the first 14 days of receiving the item if they are unhappy with the item. There will be a 20% restocking fee any return that are not
TDK S2091-86-001 Load Port TAS3000 AMAT 0190-16691 Used Working
This TDK S2091-86-001 Load Port is used working surplus. The physical condition is good. But there are signs of previous use and handling. Part No: S2091-86-001 Model No: TAS3000 Type: F1 AMAT No: 0190-16691. Rev. 001 300mm Input: 100-240V. 50/60Hz Air: 0.52 MPa CE Marked Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 60"x26"x32" 350 lbs. Requires Freight Shipping. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number, date or ... morecountry of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 12 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 7.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely pack
Recifsa F012E03 Load Port used working
This Recifsa F012E03 load port is used working surplus. There are some minor scratches and scuff marks to the outer housing of this unit. Otherwise the physical condition of this unit is good and clean. Part No: F012E03 Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = Requires freight shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ7 Can't ... morefind the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 7.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged or palletized and shipped
Recifsa F0300M03 Load Port used working
This Recifsa F0300M03 load port is used working surplus. There are some minor scratches and scuff marks to the outer housing of this unit. Otherwise the physical condition of this unit is good and clean. Part No: F0300M03 Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 12” x 12” x 12” 6 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ7 Can't ... morefind the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 7.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged or palletized and shipped