Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
This site contains affiliate links for which I may be compensated
$
412.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
FLANGE,INSULATOR,LEFT. Inventory # CONJ-2289.
$
2511.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Lam Research 853-178749-012 300mm Heater Pedestal is used working surplus. The unit was tested for resistance. The unit has dings and scuffs to the ... morepedestal (see photos). The physical condition is good, but there are signs of previous use and handling.
$
6000.00
Buy It Now
Condition: Seller refurbished
Location: New Windsor, United States
Refurbished and upgraded Veeco V-300 bell jar system in excellent, like-new, working condition. Liquid nitrogen trap. The system has potential to be equipped ... morewith 5 sources. only one source is available, with respect to stated pricing.
$
6010.20
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
The physical condition is good, but there may be signs of previous use and handling. Manufacturer Refurbished. Serial numbers or country of manufacture ... moremay vary.
$
604.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. ARM LOWER 200MM SSWR. Part No: 2-F2-33338.
$
2008.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Umicore 0483078 Chromium 99.95% Sputtering Target AKQ515HEC Balzers BK209725-T is used working surplus. The physical condition is good, but there ... moreare signs of previous use and handling. Part No: 0483078.
$
6999.00
Buy It Now
$599.00 Shipping
Condition: Used
Location: Singapore
Kindly let us know if you wish to use your own carrier/forwarder. Local GST for Singapore Sales: 9%. Cash and Carry for Local Sale only.
$
1003.60
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary. Inventory # CONJ-445.
$
9008.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Model No: CPHP General Chamber CPHG. 5086-400749-11 SENSOR,PROXIMITY CPHP #01 1. 5086-401773-11 SENSOR,PROXIMITY CPHP #02 1. 5086-400750-13 SENSOR,WATER ... moreLEAK CPHP #01 1. The physical condition is good, but there are signs of previous use and handling.
$
5995.00
Buy It Now
$53.96 Shipping
Condition: Used
Location: San Jose, United States
Applied Materials 0010-09750 RF Match, Assy. AMAT Spares is unable to test item to verify the condition. AMAT Spares. We are also interested in your unwanted ... moreor excess Applied Materials inventory.
$
411.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary.
$
125.00
Buy It Now
$12.50 Shipping
Condition: New
Location: San Jose, United States
Model: 1404. Fitting 1/2" NPT.
$
1203.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Electroglas 255413-001 200mm Wafer Prealigner Assembly 4085x Horizon is used working surplus. The unit has minor scuffs to the plastic covers (see ... morephotos). The physical condition is good, but there are signs of previous use and handling.
$
2012.22
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: H5408002. SHIELD MACH MASS ANA #2.
$
150.00
Buy It Now
$17.50 Shipping
Condition: Used
Location: San Jose, United States
24V 488980C2 Solenoid Valve.
$
2008.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Umicore 0483428 Copper Cu 99.995% Sputtering Target AKQ515 Balzers BK209725-T is used working surplus. The physical condition is good, but there ... moreare signs of previous use and handling. Part No: 0483428.
$
99.00
Buy It Now
$15.55 Shipping
Condition: Used
Location: Estero, United States
$
1007.22
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
This AMAT Applied Materials 0020-24101 200mm Pedestal PCII Ti Lot of 2 is manufacturer refurbished surplus. The physical condition is good, but there ... moremay be signs of previous use and handling. Removed from a AMAT Applied Materials Endura 5500 PVD System.
$
4009.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Model No: LHP Low Temperature Hot Plate Process Station. The unit is missing most of the covers and removable panels and may be missing other components ... more(see photos). The physical condition is good and there are visible signs of previous use and handling.
$
900.00
Buy It Now
$50.00 Shipping
Condition: Used
Location: Dallas, United States
Warranty period only for defective or failed parts (functionality).
$
1750.00
Buy It Now
$124.38 Shipping
Condition: Used
Location: Morgan Hill, United States
HP 8443 Tracking Generator . Counter Hewlett Packard ID-AWW-AWW-9-3-2Condition: used. Not tested. We sell it at AS Is , where is, no return. No warrantyPls ... morecontact us by email if you have any questions. Appreciate your time.
$
1004.23
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
The physical condition is good, but there may be signs of previous use and handling.
$
1502.22
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: F3428001. Model No: BEAMLINE APERATURE. Inventory # CONJ-429.
$
801.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Model No: SCOPE ASSY. Assembly Part No: 5087-404467-12, SCOPE ASSY. ... moreCOT Coat Process Station. 020-000009-1 CAP SCREW M3 10 SUS304 2.
$
6512.23
Buy It Now
Condition: Used
Location: Albuquerque, United States
This Ultratech Stepper Titan 200mm Wafer Projection Steeper System is used untested surplus and is being sold as-is. It may be missing components (see ... morephotos). The physical condition is good and there are visible signs of previous use and handling.
$
711.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0021-10213 Wafer Transfer Lid Transplant is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. PLATE,TRANSPLANT,CLAMP LID.
$
1507.22
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. For use with a AMAT Applied Materials Endura 5500 PVD System. Part No: 0021-20045.
$
1003.10
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
This Varian 4287500 Bernas Source Assembly is refurbished surplus. The physical condition is good, but there may be signs of previous use and handling. ... morePart No: 4287500. Manufacturer Refurbished. Serial numbers or country of manufacture may vary.
$
5320.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: South Korea
$
2150.00
Buy It Now
$110.05 Shipping
Condition: Used
Location: Morgan Hill, United States
Lamptray Assembly Gasonics Aura 2000LL AWD-D-3-1-4-002Lamptray Assembly W/ A95-053-02, A95-205-01 ?The photos are for one and the price is for one set.The ... moreitem was deinstalled from a working Gasonics Aura 2000LL in an Intel 8 inch Fab in Santa Clara.Condition: Used. Not tested!We sell it at AS Is , where is, no return. No warrantyIt is subject to prior sale without notice. Pls check the availability with us. Pls contact us by email directly if you have any questions. Appreciate your time.
$
1601.23
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
This Varian VSEA BB10-157408 Outer Deposition Shield Lot of 3 is manufacturer refurbished surplus. The physical condition is good, but there may be signs ... moreof previous use and handling. SHIELD, DEPOSITION OUTER.
$
1509.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Model No: 300mm Interface Block Roller. Serial numbers or country of ... moremanufacture may vary.
$
1009.23
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
This Lam Research 715-009778-002 Electrode Cap Assembly is manufacturer refurbished surplus. The physical condition is good, but there may be signs of ... moreprevious use and handling. Model No: CAP, ELECTRODE.
$
8002.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hoya-Schott UL200T-L1 UV Light Source is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... morePart No: UL200T-L1.
$
1202.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: 12397G02. This PTI Progressive Technologies 12397G02 Automated Exhaust is used working surplus. The physical condition is good, but there are ... moresigns of previous use and handling. Model No: Sentry 1000.
$
75.00
Buy It Now
$43.38 Shipping
Condition: Used
Location: Morgan Hill, United States
Olympus Microscope W/ VM VMZ 1x-4x ID-AWW-7-2-2-003Condition: Used. Not test. We sell it at AS Is , where is, no return. No warrantyPls contact us by ... moreemail if you have any questions. Appreciate your time.
$
6500.00
Buy It Now
$60.57 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Condition:New. The photos were from the real parts. Not tested. We sell it at AS Is , where is, no return. No warranty. Please double check the photos ... morebefore you buy this item. Appreciate your time!Pls contact us if you have any questions regarding to ElectroGlas EG 1034 EG 2001 EG 2010 EG 3001 EG 4080 EG 4085 EG 4090 EG 4090u+ wafer prober. Appreciate your time.
$
809.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. SHIELD, CHAMBER, RIGHT FRONT. Serial numbers or country of manufacture may vary.
$
2007.22
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. WINDOW, HEATER, STEPPED, 200MM. Serial numbers or country of manufacture may vary.
$
2502.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0040-09957 200mm ESC Notch 0020-32963 0040-09961 is used working surplus. The physical condition is good, but there are signs ... moreof previous use and handling. Model No: PEDESTAL ASSY, 200MM, NOTCH.
$
6010.23
Buy It Now
Condition: New – Open box
Location: Albuquerque, United States
This Daifuku CLW-07F 300mm OHV Wafer Transport is new surplus. The physical condition is great. Serial numbers or country of manufacture may vary. Part ... moreNo: CLW-07F.
$
599.95
Buy It Now
Free Shipping
Condition: For parts or not working
Location: West Sacramento, United States
We work hard to include a lot of pictures of each item. We take joy in helping you get the gear you want at the price you need.
$
2505.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a Samsung Electronics FSL-IW3MA2 Ink Marking System. Serial ... morenumbers or country of manufacture may vary.
$
2008.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
CHUCK, PEM, SABRE, 300MM. This Novellus Systems 16-270993-00 300mm Wafer O-Ring is new surplus. The physical condition is great. Serial numbers or country ... moreof manufacture may vary.
$
35.00
Buy It Now
Free Shipping
Condition: Used
Location: Fargo, United States
Shimadzu 228-36917-01 Stator Plate LPV 5PV LC-2010/ SIL-20/SIL-HT/Prominence-i Used. Replaced in the house of regular preventative maintenance. Stator ... morefor LPV (Low Pressure Valve), 5PV for LC-2010 (HT), SIL-20, SIL-HT and LC-2030
$
1009.23
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Air Products Part No: 130623. The physical condition is great. Model No: SEAL PLATE. Part No: 715-006719-001.
$
1611.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. (ES) ELECTRODE BOTTOM, 8" NW-2 (8K-ESC). Serial numbers or country of ... moremanufacture may vary.
$
225.00
Buy It Now
$25.74 Shipping
Condition: Used
Location: Morgan Hill, United States
Condition: Used. The photos were from the real parts. Not tested. We sell it at AS Is , where is, no return. No warranty. Please double check the photos ... morebefore you buy this item. Appreciate your time!Photos are for all and the price for one piece only. Thanks!!!Pls contact us if you have any questions regarding to ElectroGlas EG 1034 EG 2001 EG 2010 EG 3001 EG 4080 EG 4085 EG 4090 EG 4090u+ wafer prober. Appreciate your time.
$
2004.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0040-09002 Etch Chamber Body and Base is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. Serial numbers or country of manufacture may vary.
$
995.00
Buy It Now
$111.09 Shipping
Condition: New – Open box
Location: North Billerica, United States
$
975.00
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Lockport, United States
It powers up & seems to go thru it start up self test ok. A power cord is included. We are located in LOCKPORT IL, about 35 miles SW of Chicago IL. From ... moreprinters & plotters to floor scrubbers & Oscilloscope.
$
1005.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: CS11424. The physical condition is good, but there are signs of previous use and handling. Inventory # CONJ-2744.
$
225.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWD-D-2-8-12-008The photos are for one and the price is for one set.The item was deinstalled from ... morea working Gasonics Aura 2000LL in an Intel 8 inch Fab in Santa Clara.Condition: Used. Not tested!We sell it at AS Is , where is, no return. No warrantyIt is subject to prior sale without notice. Pls check the availability with us. Pls contact us by email directly if you have any questions. Appreciate your time.
$
1255.10
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: Y7601000. Part No: Y7604100. Part No: PMM40000. This Bio-Rad Y7604100 200mm Wafer Handler is used working surplus. The physical condition is ... moregood, but there are signs of previous use and handling.
$
2008.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Nikon NWL 860 TNB-SP 200mm Wafer Inspection Loader NWL860. This Nikon NWL860 200mm Buffer Syringe Back Side Edge Macro Inspection Chuck ... moreis used working surplus. The physical condition is good, but there are signs of previous use and handling.
$
69.99
Buy It Now
$15.00 Shipping
Condition: Used
Location: Litchfield Park, United States
American Optical AO Instrument Model 572 With 599 Aux Lens and eyepiecesused in good working condition see pictures for more details thank you for bidding
$
2508.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Novellus Systems 15-00934-02 200mm Wafer Indexer is used working surplus. The physical condition is good, but there are signs of previous use and ... morehandling. INDEXER,WF,EXCL,OPTION,200MM. Inventory # CONJ-1631.
$
650.00
Buy It Now
$64.81 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Condition: New. The photos were from the real parts. Not tested. We sell it at AS Is , where is, no return. No warranty. Please double check the photos ... morebefore you buy this item. Appreciate your time!Photos are for all and the price is for all. Thanks!!!Pls contact us if you have any questions regarding to ElectroGlas EG 1034 EG 2001 EG 2010 EG 3001 EG 4080 EG 4085 EG 4090 EG 4090u+ wafer prober. Appreciate your time.
$
500.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
225.00
Buy It Now
Free Shipping
Condition: New
Location: San Jose, United States
SS BELLOWS-SEALED VALVE 1/4" SWAGELOK.
7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25
 In 

Former Listings  
 
HP Agilent 08753-60082 Phase Lock Board Assembly for 8753B
GLOBAL PARTS Welcome to The sale'Serving the eBay Buyers at our Best! PAYMENT PayPal Payment is accepted only. SHIPPING There is a possibility for fast delivery( EMS. between 3 to 4 business days) at an additional charge Your purchase will be carefully packaged for shipping using bubble wrap. I cannot be responsible for uninsured packages that got lost or damaged during shipping. Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility Please check with your country's customs office to determine what these additional costs will be prior to bidding/buying Please. Let approximately 5 to 25 business days for shipment. NOTE I reserve the right to refuse to ship items to"unconfirmed" PayPal addresses. most items shipping Air mail other carriers can ... morebe considered and may be different. Please note that most items are not Tested so the item condition is unknown and not guaranteed unless described otherwise. All items are for sale AS IS! If for any reason you are not satisfied with your purchase please contact us immediately by Email. Before contacting eBay Resolution center/ Leaving Feedback! Description I am offering for sale HP Agilent 08753-60082 Phase Lock Board Assembly for 8753B You get 100% warranty for this item Have a nice day! Every buyer gets a MyStoreRewards invitation for cash back Visit us on Facebook thank y ou f or watchi ng! my Store twitter Add to My Favorite Sellers We will post your Positive Feedback when your item is shipped. Please also leave us positive feedback and Five Star 5/5 detailed seller rating if you are Satisfied with your purchase. For Any Reason. If you have problems with shipping, product or others, before you leave a neutral or negative feedback or you want to open a dispute or claim. please contact us by Ebay Message System. We will do our best to solve your problem and provide you the Best Customer Service Experience. we are proud to offer high quality products with AMAZING Five Star S
Digi 30001284 Rev K PC XEM ISA Host Adapter Card
ebay template Welcome to The Technology Surplus Depot Digi 30001284 Rev K PC XEM ISA Host Adapter Card Guaranteed to be in good physical and working condition. With minor wear and scuffing from normal use. The OEM box will be shipped inside another box for protection. Included: 1x Digi 30001284 Rev K PC XEM ISA Host Adapter Card Does NOT Include: Anything else not listed above. PLEASE READ THE FOLLOWING BEFORE BIDDING: We are a Government. Educational, and Corporate Surplus Management company. We make every effort to describe our items truthfully and to the best of our abilities. We have little to no operating or service knowledge for many of the items that we resell. For this reason, we may be unable to answer some questions regarding features or functionality for items we are selling. Please consider this prior to bidding or buying from ... moreus. PLEASE READ'Item Condition' located under the time remaining section of this ad. If Item Condition is'Used' then we guarantee it to work as described above only, even though we may have or may not have been able to test it. If Item Condition is‘For Parts or Not Working‘ please be advised that it may or may not work or be serviceable in any way, and should you require a guaranteed working item we respectfully recommend that you purchase the item from other vendors here on eBay who have the expertise and scope to fully test and guarantee such items. SHIPPING: We employ a professional shipping department in a 25.000 square ft facility. We do not ship out of a house or garage. We use new boxes and packing material 98% of the time. Keep in mind you are most likely buying something that does not come with the original box or packing material(unless otherwise pictured or stated) In these cases, in order to guarantee a professional packing job, new boxes and superior packing materials must be used. Unless your package is mistreated by the carrier, we guarantee it will arrive safe and sound. That's a major difference between us and other sellers on eBay. We cannot
ADT Micro-Swiss Flange 00785-3515-000 Dicing Saw Advanced DIcing Technologies
Multiple of Flanges available. Multiple sizes. semiconductorsystems.com has K&S Micro-Swiss ADT Advanced Dicing Technologies O.D: 4.550 INCH O.D: 115.57 MM Flanges are in wood protective case and lock with custom padding We can expedite too IMPORTANT: Before mounting the flange set. Clean the following parts with Alcohol: 1. Spindle shaft 2. Spindle face 3. Flange bushing 4. Back of flange face. Use Micro-Swiss Tourque-Meter Part# 4B785-3001-000 To mount flange to spindle, apply torque of 31 Inch*Lb
ADT Micro-Swiss Tourque-Meter 4B785-3001-000 Advanced DIcing Technologies
Micro-Swiss Tourque-Meter Part# 4B785-3001-000 Multiple of Flanges available. Multiple sizes. semiconductorsystems.com has K&S Micro-Swiss ADT Advanced Dicing Technologies Flanges are in wood protective case and lock with custom padding We can expedite too IMPORTANT: Before mounting the flange set. Clean the following parts with Alcohol: 1. Spindle shaft 2. Spindle face 3. Flange bushing 4. Back of flange face. Use Micro-Swiss Tourque-Meter Part# 4B785-3001-000 To mount flange to spindle, apply torque of 31 Inch*Lb
21121 Verteq VPA-1987 Power Amplifier VPA 1987-21121, 500 Watt RF Amplifer Used
21121 Verteq VPA-1987 Power Amplifier VPA 1987-21121. 500 Watt RF Amplifer Used. Guarranteed no DOA great shape. Buyer pays shipping multiple items will be combined Powered by The free listing tool. List your items fast and easy and manage your active items. Froo www.froo.com | Froo Cross Sell. Free Cross Sell, Cross promote, eBay Marketing, eBay listing Apps, eBay Apps, eBay Application. Di,RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bishhov%3C%3Edi-1419f9e74b6-0x102-
MKS 651CD2S1NJ 600 Series Pressure Controller-2
EQUIPMENT: MKS 651CD2S1NJ Condition:Used S/N:001670395 Ex-works Nagasaki Japan as is. Where is.
Auxiliary Power Distribution, Varian P/N 823264-14 Rev. BT
Auxiliary Power Distribution. Varian P/N 823264-14 Rev. BT.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf54%3E-141a7958b3c-0x102-
Vacion & Filament, Power Supply, Varian P/N 851366-01, Rev. M
Vacion& Filament. Power Supply, for Varian Clinac 6/100, P/N 851366-01 Rev. M
Canon BG4-6777-A301-03 Wafer Feed Hand For Canon FPA3000 fpa 3000
64277 Up for sale is this Canon BG4-6777-A301-01 Wafer Feeding type VI-L for Canon FPA3000 ESX series tools. Currently located in our Avezzano. Italy warehouse, sold as-is, where-is in working condition. Buyer pays shipping, so please make your offer accordingly. Ask if you have questions. Thanks for looking and happy bidding! Manufacturer: Canon Model: BG4-6777-A301-03 Type: Wafer Feed Hand Condition: good Vintage: Jun 1998 Quantity: 1 Comments: wafer feeding unit type-VI-L(Select) For Canon FPA 3000 series
IWAKI BELLOWS PUMP FW-40T2-07
IWAKI BELLOWS PUMP FW-40T2-07 PLEASE. LOOK IN PICTURES, YOU GET EXACTLY WHAT YOU SEE.
Horiba-Stec MFC SEC-4400M 50 SCCM GAS: AR Mass Flow Controller
1.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28b0g%60%60%3E1-141b33d82bb-0x101-
SIGNATONE Model S 1150A-6 6" Wafer Probe Station W/ S-926 PicoProbe
SIGNATONE Model S 1150A-6 6" Wafer Probe Station W/ S-926 PicoProbe This item is in good condition and comes with our 30 days warranty. This item weights 350 Lbs on a pallet 48" X 42" X 30"and buyer pays and makes arrangements for freight shipping. We will box and strap& wrap it to a pallet for $25.00 There is 4- Bausch& Lomb Industrial Eyepieces included. 1) L 2.25 X 0.04 NA 2) 25 X 0.31 NA 3) 8 X 0.15 NA 4) 50 X 0.45 NA Please read the following terms of sale carefully. These terms constitute a legally binding agreement( contract) between the seller and buyer. By bidding on. Or purchasing, the product posted in this eBay listing, the winning bidder agrees to and accepts all of the terms of sale stated below. TERMS OF SALE: Only what is shown in the eBay photo and mentioned in the listing is included. Anything ... moreelse should be understood to not be included. For sale is for the eBay item only. No service or tech support are included, but if you have a question feel free to ask and we'll answer if we can. All sales are final. Please understand that this is an auction/liquidation environment, not a retail store, so make sure the item is right for you, before bidding. PAYMENT: We accept payment by Paypal. And we only ship to the address which the buyer provides within the Paypal payment, no exceptions. If you would like to pay with a non-Paypal payment method. Please contact us before bidding to see if we can arrange something. Buyers are given 7 days from winning bid to pay. And payment is expected of all winning bidders If no payment is made within 7 days. An unpaid item reminder will be filed with eBay, and the buyer forfeits the auction win. We are required by state law to collect sales tax on all items shipping to a CA address. CA sales tax may be waived at our discretion. But only with a valid CA resale certificate- please contact us for resale exception*before* sending payment. DOMESTIC(US) SHIPPING: Local pickup is normally not available; exceptions are sometimes made. But only
Headway Research resist spinner speed controller with Omron H3CA timer
Headway Research speed control and timer for wafer spinner. Has an Omron H3CA timer and a multiturn knob to adjust speed. The cable has a DB25 connector. Covered by 14-day return and refund policy.
21x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished
Search our eBay Store! 21x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished SKU: JV-MAT-C-POLISHED629 Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge 21x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished Model: 99-00629-01 Physical Condition: Good. No visible damage to wafers. Package Dimensions(L" x W" x H" 3.5*6.375*3.75 Wafer Dimensions: 3”x0.5mm THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. ... moreFood and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us before leaving feedback or opening a case. Let us save you time& aggravation by showing
8x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished
Search our eBay Store! 8x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished SKU: JV-MAT-C-LASTWAFERLOT Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge 8x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished Model: 99-00629-01 Physical Condition: Good. No visible damage to wafers. Package Dimensions(L" x W" x H" 3.5*6.375*3.75 Wafer Dimensions: 3”x0.5mm THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food ... moreand Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us before leaving feedback or opening a case. Let us save you time& aggravation by showing
10x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished
Search our eBay Store! 10x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished SKU: JV-MAT-C-HALFMILLIX Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge 10x Crystal Technology 99-00629-01 Lithium Niobate Wafer 3”x.5mm X-Cut Polished Model: 99-00629-01 Physical Condition: Good. No visible damage to wafers. Package Dimensions(L" x W" x H" 3.5*6.375*3.75 Wafer Dimensions: 3”x0.5mm THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food ... moreand Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us before leaving feedback or opening a case. Let us save you time& aggravation by showing
Empak 8" Wafer Carrier
This is in excellent condition. Holds 25 8" wafers Paypal required and buyer pays shipping of $14.99
Rotor PA72-40mb for Semitool STI Spin Rinser Dryer SRD , 4 Bolt, Ergo Back Plate
Semitool Rotor PA72-50M 4 Bolt Ergonomic Backing Plate: Lighter weight for easy operator handling Beautiful condition In stock. Immediate shipment
Rotor A72-40mb for Semitool STI Spin Rinser Dryer SRD , 4 Bolt, Ergo Back Plate
Semitool Rotor A72-40MB 4 Bolt Ergonomic Backing Plate- Lighter weight for easy load and unload into system Beautiful condition In stock. Immediate shipment
Rotor A72-40mb for Semitool STI Spin Rinser Dryer SRD , Quick Disconnect
Semitool Rotor A72-40mb 11" Backing Plate Quick Disconnect Beautiful condition In stock. Immediate shipment
Rotor A194-50m-0215 for Semitool STI Spin Rinser Dryer SRD , 4 bolt
Semitool Rotor A194-50m-0215 4 Bolt 9 3/4" Backing Plate Beautiful condition In stock. Immediate shipment
Rotor A72-50m for Semitool STI Spin Rinser Dryer SRD , 4 Bolt
Semitool Rotor A72-50M 4 Bolt Picture for this rotor is for representation only. Rotor does not shine like other rotors and may not be electropolished. In stock. Immediate shipment
Semitool SRD Spin Rinser Dryer 8"/200mm, 1 Stack -- Super Clean
Semitool 8"200mm Stand Alone Spin Rinser Dryer Single Axis- Designed to accommodate one rotor Rotor included Accommodates one each 200mm wafer cassettes per run or smaller System was purchased from local fab in operational condition. Customer extended the total height of the unit so it met the height of the fab. Excellent condition- see cleanliness on the inside of the unit. Condition of the welds(look like brand new, as does the poly on the inside where it was exposed to water and use) condition of the valves, fittings, resistivity probe and cell, etc. Resistivity probe and cell included. Teflon and PVDF fluid path. Fully automated door. Slides up and down to open and close for ease of use and to reduce fab space. Door sells for over $15k and a spare door is included. Easy to use. Maintain and operate- simple pneumatics. Includes heat ... moreoptions. Rinse to resistivity, automated door open and close and other manufacturer upgrades. Facilities: DI Water: 30-40 PSI N2: 30-40 PSI System being sold AS IS. Full decontamination report included. No way to test at our facility. $65.00 handling fee will be included with crating charges.
Rotor A72-40mb-0215 for Semitool STI Spin Rinser Dryer SRD , 4 Bolt, Ergo
Semitool Rotor A72-40mb-0215 4 Bolt Ergonomic backing plate: Lighter weight for easy load and unload into system Backing plate: 7 3/4" Beautiful condition In stock. Immediate shipment
AMAT0020-70559 Earth Leakage Breaker 30A 2-Phase Fuji EG32F 100- 240 VAC
Fuji Electric Earth Leakage Circuit Breaker: EG32F/30-30MA 100-240 VAC 2-Pole. 30A, 100-240VAC, 50/60Hz. Check out my! M1B1D.
Applied Materials 9200 AMAT IMPLANTER 6 INCH TO 8 INCH CONVERSION KIT
11579 For AMAT 9200 Implanter- Wafer size conversion kit. 6 inch to 8 inch. Includes all parts needed, except the disk itself. The kit includes: QTY 22 wafer holding disks- QTY 17 end pieces for the wafer holders on the end of the disc arms- QTY 3 l-shaped frames Various other assemblies as shown in the photos attached. Sold as you see here. Any parts not shown are not included. Please make us an offer accordingly. Please ask if you have questions. All sales are sold as-is. Where-is and are final with no returns. Winning bidder pays shipping from Avezzano, Italy to their location. Thanks for looking and happy bidding! Manufacturer: Applied Materials Model: 9200(Spares for) Type: IMPLANTER 6 INCH TO 8 INCH CONVERSION KIT Version: 200 mm Condition: excellent Vintage: Jun 1999
Varian E11059714 R1 200 mm SI cooled platen
69877 Up for sale is this Implanter part in good condition. Varian E11059714 R1 200mm SI Cooled Platen. Sold as-is. Working condition. Please bid accordingly. If you have questions, please ask. Thanks for looking and happy bidding! Type: 200 mm SI cooled platen Manufacturer: Varian Model: E11059714 R1 Type: 200 mm SI cooled platen Condition: good Sale condition: as is where is Quantity: 1 Comments: USED IMPLANTER PART IN WORKING CONDITION SEE PHOTOS FOR DETAILS LOCATED IN AVEZZANO. ITALY 67051(NEAR ROME) 2p5ddv.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B26rk%3E2p5ddv-141b6ca2586-0xfe-
Nikon 851 wafer loader feeder arm
Good condition working feeder arm for the Nikon 851 wafer loader.
Schumacher Absolute ATCS 15 High Temp
Schumacher Absolute ATCS-15 High Temp 10c- 60c INCLUDES: Interconnect cable Thermocouple Manual NEW ABU fan NEW controller fan 110VAC power cord 6 new feet Tested
Schumacher Absolute ATCS 15 TLCHFCK
Schumacher Absolute ATCS-15 TLCHFCK INCLUDES: Interconnect cable Smart Probe Thermocouple Manual NEW ABU fan NEW controller fan 110VAC power cord 6 new feet Tested Temp Range 10c to 20c
Semitool SRD ST-470 Spin Rinse Dryer
Semitool ST-470 Spin Rinser Dryer Removed from local fab in operational condition Includes: Existing Stand Rotor Roll Away front polypropylene. Steel reinforced stand PLUS existing stand Can be used as table top system Controller(integral) Designed to accommodate resistivity monitoring system. Includes Pea Trap, etc. Resistivity probe/cell may be included(confirmation required prior to ship) Designed to accommodate 6"150mm wafer cassette or smaller
ADE MicroSense 6360 wafer thickness tester
ADE MicroSense 6360 wafer thickness tester for parts. No test have been done to make sure is working. sold as-is Powered by The free listing tool. List your items fast and easy and manage your active items.
Plasmatherm MDS 5000D Magnetron DC Power Supply 5KW SCR Controlled
Plasmatherm MDS 5000D Magnetron DC Power Supply 5KW SCR Controlled DC 450-600-745v output, 210/240v. 3ph. Cleaned& Tested Item pictured is what you will be receiving. Please Look At Detailed Photos. Thank you for looking. And have a look at our other listings. If you have any questions. We can be reached M-F from 10am to 7pm PST. Local pickup is encouraged. And we can assist in loading. This item requires to be palletizing for freight shipping. Customers are invited to insect products prior to purchase. Please contact us to arrange a time for inspection. Please visit our eBay page. Thank you. Quintronix Hayward. CA 1-800-448-2123 Vacuum& Process Equipment New. Unused, Refurbished, Used
ASMLWAFER STEPPER PAS2500/5000 P-TABLE 2500/5000
This listing is for an ASML P-Table that can be used on PAS2500/5000 Litho platform. I also have 5X reduction Overlay Reticle and other ASML items for sale Posted with
ASYST Technologies INX 3600 Indexer 360MM 9700-3858-01
ASYST Technologies INX 3600 Indexer 360MM 9700-3858-01 This item is in good condition and comes with our 30 days warranty. Please read the following terms of sale carefully. These terms constitute a legally binding agreement( contract) between the seller and buyer. By bidding on. Or purchasing, the product posted in this eBay listing, the winning bidder agrees to and accepts all of the terms of sale stated below. TERMS OF SALE: Only what is shown in the eBay photo and mentioned in the listing is included. Anything else should be understood to not be included. For sale is for the eBay item only. No service or tech support are included, but if you have a question feel free to ask and we'll answer if we can. All sales are final. Please understand that this is an auction/liquidation environment, not a retail store, so make sure the item is ... moreright for you, before bidding. PAYMENT: We accept payment by Paypal. And we only ship to the address which the buyer provides within the Paypal payment, no exceptions. If you would like to pay with a non-Paypal payment method. Please contact us before bidding to see if we can arrange something. Buyers are given 7 days from winning bid to pay. And payment is expected of all winning bidders If no payment is made within 7 days. An unpaid item reminder will be filed with eBay, and the buyer forfeits the auction win. We are required by state law to collect sales tax on all items shipping to a CA address. CA sales tax may be waived at our discretion. But only with a valid CA resale certificate- please contact us for resale exception*before* sending payment. DOMESTIC(US) SHIPPING: Local pickup is normally not available; exceptions are sometimes made. But only for higher-value orders. Please contact us first to see if we can make this arrangement for you, don't assume that we will be able to. We charge flat-rate shipping. And there is no extra cost to ship to AK, HI, PR, or PO boxes, these are all fine. Shipping cost includes packaging cost including time and materials. And also in
10x Deltronic Crystal 8997 Stoichiometric Lithium Niobate Wafers 11x16x.5mm
Search our eBay Store! 10x Deltronic Crystal 8997 Stoichiometric Lithium Niobate Wafers 11x16x.5mm SKU: JV-MAT-C-STOICH8997 Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge 10x Deltronic Crystal 8997 Stoichiometric Lithium Niobate Wafers 11x16x.5mm Model: 8997 Physical Condition: Good. No visible damage to wafers. Functionality/Degree of Testing: We do not have the necessary resources to properly test these units. Package Dimensions(L" x W" x H" 2.5*3*1 Wafer Dimensions: 11x16x0.5mm THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The ... moresale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us before le
APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
Applied Materials(AMAT) 0010-20098 Rev E Shield Treatment Controller Features are as follows: No testing. Calibration, manuals or other accessories- sold as shown· Dimensions: 19" L x 12" W x 5.5" H Mechanical condition: Being sold as is. No refunds or exchanges. Used– no testing was performed Cosmetic condition: unit is used& does show signs of normal use. No major issues– see photos for details Please note: if you require shipping services other than stated or you wish to use your own UPS account( preferred! please request prior to payment/shipping. Continental US shipping: Free shipping– includes insurance for purchase price. Does not include Alaska. Hawaii, Puerto Rico or US Virgin Island(lower 48 only) Discounts available for multiple purchases. As always– tracking on every purchase. Other shipping methods may ... morebe arranged. Please request prior to purchase. Canada: See rates below if available or inquire. Other than Canada. UPS or federal express(your account) Questions– please contact us prior to placing and offer/purchase and specify the item number please. Payment: Payment must be received prior to removal&or shipping. Texas residents add 8.25% unless TX Resale or Usage Certificate remitted with payment. We accept PayPal& other forms of payment; please see selections below. Check out my! Be sure to add me to your! Please visit our ebay store for more great items. We specialize in Printed Circuit Board Assembly(Electronics Manufacturing) Equipment. Semiconductor, Wire& Cable Processing, Business& Industrial Auctions; Appraisal, Project Valuation& more. Thanks for visiting! Remember Buying used&or refurbished equipment is eco-friendly and helps preserve our non-renewable resources. Internal no. 13872
Dicing Saw Flange 4.6BA-120 FLANGE DICING GRINDING Thermocarbon Dicemaster
Thermocarbon Dicemaster DicingBlades and Precision Flanges The leader in dicing and precision grinding technology. Semiconductorsystems.com has multiple flanges and blades available Part# 4.6BA-120
APPLIED MATERIALS 5500 ENDURA PVD COVER RING 8" 101% 0020-24914
APPLIED MATERIALS 5500 ENDURA PVD COVER RING 8" 101% PART#0020-24914 THIS ITEM IS SOLD AS IS. Item is being sold as is where is. International buyers are responsible for shipping. applied materials lam research novellus applied materials parts
AMAT 0100-20003 OBS DIGITAL INPUT OUTPUT PCB, WORKING
AMAT 0100-20003 OBS DIGITAL INPUT OUTPUT PCB. WORKING* Item Description] Manufacturer: AMAT part number: 0100-20003 Description: OBS DIGITAL INPUT OUTPUT PCB Condition: USED. WORKING =================================================== CONDITION Being sold as is. SHIPPING We will ship your order within 2-3 business days via Fedex international economy. It will usually take 5-10 business days after shipment. PAYMENT Payment must be received prior to shipping by Paypal only. RETURN POLICY Due to the nature of electronic components we are not able to offer a warranty or accept returns. Actual Picture of the item is provided. What you see in the picture(s) is what you get. If there is anything wrong with the item that you have purchased. Please do not leave negative feedback and do not open any disputes with eBay or PayPal. Just contact us directly ... moreand we will fix the problem quickly.
Sunon KB0506PHB2 Fan
This listing is for a lot of 7 Sunon Fan's Model:KB0506PHB2 DC5V=1.9W
BROOKFIELD TC-200 CONSTANT TEMPERTURE BATH TC200
Manufacturer: Brookfield Model: TC-200 Condition: Used Notes: Removed from surplus of a major U.S. fab. The photos show the exact item the buyer will receive Included: 1) Brookfield TC-200 Constant Temperture Bath Specifications: S/N: T95355045 Controls Tempertures from ambient to 130°C,
SOLARTRON 3595 4B PC-TO-SNET INTERFACE BIO-RAD QUESTAR
Manufacturer: SOLARTRON Model: 3595 4B Condition: USED Notes: IN GOOD CONDITION. Included: 1) SOLARTRON 3595 4B PC-TO-SNET INTERFACE
Sunon KDE0506PHV2 MagLev Fan
This listing is for a lot of 10 Sunon KDE0506PHV2 MagLev Fans DC5V=0.9W Used in good condition
NIKON PRECISION I10/I11 FIBER OPTIC CABLE 4G902-051
Manufacturer: NIKON PRECISION Model: 4G902-051 Condition: USED Notes: IN GOOD CONDITION. Included: 1) NIKON PRECISION I10/I11 FIBER OPTIC CABLE 4G902-051
AMAT APPLIED MATERIALS 8" FORK LIFT HOOP 0020-21707
This Listing is for a AMAT APPLIED MATERIALS 8" FORK LIFT HOOP 0020-21707 Part# 0020-21707 May not be AMAT original. But equivalent to 0020-21707 It came from a major fab stock. Item is excellent physical condition
REDWOOD MEMS-FLOW IGS 99-26.A13.13S FLOW CONTROLLER
This listing is for a used REDWOOD MEMS-FLOW IGS 99-26.A13.13S MASS FLOW CONTROLLER. Gas: He Flow: 5000 sccm Mas Outlet: 10 torr Part: 99-26-A13.13S Serial: 050201651 PC-MFM Item is in good physical condition with minor cosmetic scratches. Has not been tested.
TORR INTERNATIONAL NEW COND CrC-150 Sputtering System - used 10 times!!!
Hello. Purchased new for $54,000. used 10 times. Now for sale, still in new condition and a great deal. Location Seattle,WA. If you have any questions call me at 425 786 3352 Marty
TES Automation Robotic Automatic Wafer Transfer Robot With Arm NO CONTROLLER
TES Automation Robotic Automatic Wafer Transfer Robot With Arm NO CONTROLLER Condition: Used. But still in GOOD condition. Entire top is stainless steel. Unable to test completely. Scuffs and scratches on arm. Dents and chips that are noticeable, but doesn't effect the motion of the arm. Model VS-040175 Wafer size, 2"4" 6" 8" 12"18"50mm~450mm) Payload, 2Kg(Including end effecter) Work Range, Vertical(Z-axis) 40mm, Rotational(T-axis) 0° ~ 360°, Radial(R-axis) 350 ~ 350mm, Operation Speed, Vertical(Z-axis) 40mm/sec, Rotational(T-axis) 360°/2.2sec, Radial(R-axis) 525mm/1.4sec, Repeatability, ±0.1mm(All axes) What you see is what you get! Pictures are taken at the time of listing. If it's not in the photos. It's not included in the lot, unless otherwise stated directly in the ad. Sorry! ... moreYou can't have the tape measure. We're still using it. Available for local pick up at our office in Hayward. California Shipping quoted includes any packaging& handling fees plus UPS Ground(5-7 days) Insured, to the lower 48 States only. Orders to Hawaii& Alaska will be filled only if item can be shipped by US Postal Flat Rate Box. Puerto Rico orders will ship by USPS Parcel Post and cannot be tracked after they have left the United States. If you need it shipped elsewhere. Please contact us for a shipping quote. Depending on your location, your shipping may be less, in which case we will refund the difference. Returns are accepted within 14 days. Re-stocking fees may apply. Please see our full return policy for details. If there is any problem with your order. Please contact us! We’re happy people and we like it when our customers are happy and satisfied, too! We leave feedback once or twice a month. Leave us a good feedback and we'll leave you good feedback in return. If you have questions or concerns. Please message us, or call Liz or Beth at 510-784-1111. We're here Monday-Friday. 8 am- 4:30 pm PST, and at other times by chance! ~ Thank You For S
Switching Systems Int. (SSI) SQM-200-1433-7 +-15 / + 24 VDC Supply
Switching Systems International(SSI) +-15/ + 24 VDC power supply. Removed from Lam/DryTek ASIQ. Model SQM-200-1433-7. SSI part# 20-0028-135 02,Input 115 V/ 240 V, 50/60 Hz. 5 amps. S/N A070623. It appears in good shape. But it hasn't been checked out) right of return. Supply will ship Fedx ground. So please included your phone# Quoted shipping price is for lower 48 states US customers only. Overseas customers must pay all shipping cost. Logan Technologies. 254-773-4070
Lam Research Ring Support, 200mm, 71862-108, 380849600, 1000436273
Item up for sale is a: Lam Research Ring Support. 200mm 71862-108 380849600 1000436273 Item is used and is untested other than what is indicated below: Unit is untested. Pulled from a working environment- If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. 3 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. ============================================================================ Terms of Sale Payment Options PayPal only or contact us for payment methods to pay on pickup. Bank transfers or payments using a Cashier’s Check may be available. Contact us for additional information and ... morefees. RETURNS Warranty for Items shipped in the US 14-Day Right to Return- Buyer has 14 days to test item to determine its operational condition unless it was listed as Parts-Only or As-Is. Should the item be received non-operational you may return the item for refund of the purchase price. 14-day period starts the day you receive the item. You cannot return an item because it is not compatible with the system you installed the item into. Please insure that the item you are purchasing is compatible with the application or in the system you intend to use it. Warranty for Items shipped outside the United States All international sales shipped to a destination outside the US are non-refundable. All international sales are final. SHIPPING Shipping within the United States Buyer is responsible for all shipping costs including returns. Please note for domestic shipments(within US) we ship FedEx ground only. But you can use UPS ground shipping rates(calculated automatically by eBay) to estimate the shipping charges. Actual FedEx ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FedEx
Lam Research Probe, TC, SHLD J-Type, HV, ESC MT, 666-800702-005
Item up for sale is a: Lam Research Probe. TC, SHLD J-Type, HV, ESC MT Part No. 666-800702-005 Item is used and is untested other than what is indicated below: Unit is untested. Pulled from a working environment- If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. 2 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. ============================================================================ Terms of Sale Payment Options PayPal only or contact us for payment methods to pay on pickup. Bank transfers or payments using a Cashier’s Check may be available. Contact us for additional information ... moreand fees. RETURNS Warranty for Items shipped in the US 14-Day Right to Return- Buyer has 14 days to test item to determine its operational condition unless it was listed as Parts-Only or As-Is. Should the item be received non-operational you may return the item for refund of the purchase price. 14-day period starts the day you receive the item. You cannot return an item because it is not compatible with the system you installed the item into. Please insure that the item you are purchasing is compatible with the application or in the system you intend to use it. Warranty for Items shipped outside the United States All international sales shipped to a destination outside the US are non-refundable. All international sales are final. SHIPPING Shipping within the United States Buyer is responsible for all shipping costs including returns. Please note for domestic shipments(within US) we ship FedEx ground only. But you can use UPS ground shipping rates(calculated automatically by eBay) to estimate the shipping charges. Actual FedEx ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rat
Lam Research Gear Driven RF Match 853-015130R-204-B-ELM - Great Shape!
Item up for sale is a: Lam Research Gear Driven RF Match 853-015130R-204-B-ELM- Great Shape! Item is used and is untested other than what is indicated below: Unit is in good cosmetic shape. If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. ~15 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. ============================================================================ Terms of Sale Payment Options PayPal only or contact us for payment methods to pay on pickup. Bank transfers or payments using a Cashier’s Check may be available. Contact us for additional information and fees. RETURNS ... moreWarranty for Items shipped in the US 14-Day Right to Return- Buyer has 14 days to test item to determine its operational condition unless it was listed as Parts-Only or As-Is. Should the item be received non-operational you may return the item for refund of the purchase price. 14-day period starts the day you receive the item. You cannot return an item because it is not compatible with the system you installed the item into. Please insure that the item you are purchasing is compatible with the application or in the system you intend to use it. Warranty for Items shipped outside the United States All international sales shipped to a destination outside the US are non-refundable. All international sales are final. SHIPPING Shipping within the United States Buyer is responsible for all shipping costs including returns. Please note for domestic shipments(within US) we ship FedEx ground only. But you can use UPS ground shipping rates(calculated automatically by eBay) to estimate the shipping charges. Actual FedEx ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FedEx does not shi
2993 Ward Technologies Etchtek Quartz Bath Process Tank
You are Buying a Ward Technologies Etchtek Quartz Bath Process Tank Used. Excellent Condition. Sold as Is. Ward Technologies Etchtek Quartz Bath Process Tank Description: PVDF Tank LxHxW(~) 20” x 16 1/2”x 15 3/4” Quartz Tank(~) 16” x 12 1/2” x 10 1/2” 2993 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. ... moreThe charge for freight will be determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
Applied Materials 0020-38890 Cover,Cathode,DPS Chamber
Applied Materials 0020-38890 Cover.Cathode,DPS Chamber.
2985 IMTEC Pneumatic Tank Lids
You are Buying a IMTEC Pneumatic Tank Lids Used. Excellent Condition. Sold As Is. IMTEC Pneumatic Tank Lids Description: 23 1/8” x 11” ALO91137 2985 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge for freight will be determinate by the destination upon the ending of the auction. There will be ... morea packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
2984 Lufran PTFE Process Bath Tank /NEC-J Controller
You are Buying a Lufran PTFE Process Bath Tank/NEC-J Controller Used Excellent Condition. Sold As Is. Lufran PTFE Process Bath Tank/ Controller Tank Description LxHxW(~) 19” x 15” x 11” 1x Lufran NEC-J Temperature Controller Mod: 978N-900 1x Lufran NEF-10 Flow Panel P/N: K-R00006 1x Leatherwood Process Timer Mod: 1300-T 1x Lufran Power Supply Mod: 978PA 2984 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, ... moreand/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge for freight will be determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
2982 PVDF High Purity Process Bath Tank
You are Buying a PVDF High Purity Process Bath Tank Used. Excellent Condition. Sold As Is. PVDF High Purity Process Bath Tank Description: LxHxW 18 1/2” x 10 1/2”x 11” 2982 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge for freight will be determinate by the destination upon the ending of the ... moreauction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
MKS VALVE EXHAUST THROTLE VALVE 51MM 253A-2-50-2
MKS VALVE EXHAUST THROTLE VALVE 51MM PART#253A-2-50-2 ITEM IS SOLD AS IS.
AMAT 0010-01088 Wafer Lift BWCVD Precision 5000 Applied Materials
Applied Materials 0010-01088 Lift BWCVD Precision 5000 Sn:25695-07 Check out my! M1B1G.
AMAT 0010-09837 Wafer Lift Precision 5000 Applied Materials
Applied Materials 0010-09837 Wafer Lift Precision 5000 Sn: 725301 Check out my! M1B1G.
Sycon Instruments Atomicas Wafer Thin Film Thickness Deposition Rate Controller
Search our eBay Store! Sycon Instruments Atomicas Wafer Thin Film Thickness Deposition Rate Controller SKU: JV-MAT-B-SYCONDEPO Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Sycon Instruments Atomicas Wafer Thin Film Thickness Deposition Rate Controller Model: Atomicas Physical Condition: Good. Minor scuffs/scratches/sticker residue and signs of use. There are 5 screws missing from the unit. Functionality/Degree of Testing: Unit powers on with indicator light. We do not have the necessary resources to further test this unit. Power cable is not included. Dimensions(L" x W" x H" 12.5*16.75*3.5 ... moreTHE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the la
Applied Materials ISOLATOR, CERAMIC, LOWK, 300MM PRODUCER 0200-04470 Lot of 12
ISOLATOR. CERAMIC, LOWK, 300MM PRODUCER OEM APPLIED MATERIALS PART NUMBER 0200-04470 CONDITION USED. CLEANED and PACKAGED Quantity 12
Vomm Germany Wafer Handling Tweezers-Lot of 2 w. Fluoroware Protective Case #C18
Auction is for a set of Vomm Germany Wafer Handling Tweezers. Both are used. In good condition, with minimal wear and tear. Model#8a- carbon steel. Nickel-plated Forming Tweezer Model#77SA- stainless steel. Anti-magnetic, anti-acid, Wafer Tweezer- 12mm wide with PTFE pad Also included is a Fluoroware Protective Case. Model#C18. Please see my other auctions for discounted shipping. Email any questions. Thanks for looking!
Okamoto Wafer Backside Grinder Model VG502MK2 -8 / VG502MKII-8 200mm
OKAMOTO VG502MK2-8 WAFER BACKSIDE GRINDER System was previously owned by major memory manufacturer. Whose entire 8" line was decommissioned. This system was on a maintenance contract with Okamoto, and was in full operational condition at the time it was deinstalled. This system is available for inspection at our Metro NYC area facility. We can also arrange for inspection under power. As well as installation. Please contact us for full details- including extensive photo sets. The photo above. Of course, is a stock photo. For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for over 35 years. Our 50,000 square foot facility is located in the metropolitan NYC area. Feel free to contact us for additional information through ebay mail. ... moreOr directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements.
MRL 1148 Large Batch Diffusion Furnaces POCl3 &/or Nitride -Solar Cell/Silicon
MRL 1148 DIFFUSION FURNACES Large Batch Furnaces Can convert to 8" furnaces Available for Solar Cells and Standard Silicon Processes Available as POCl3& Nitride Systems Industry Standard POCl3 Batch Diffusion Furnaces for Solar Cell Production Up to 11 systems available immediately. 4 stack configuration. Only 5 systems remain available now. All systems new in 2005 and mothballed in 2008. Can be sold as-is. Guaranteed, or refurbished by the manufacturer. Please contact us to discuss details and options available regarding the sale of these furnaces. Normal 0 For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for over 35 years. You can purchase with complete confidence that the equipment will be provided in excellent cosmetic ... moreand perfect operational condition. Our 50,000 square foot facility is located in the metropolitan NYC area. Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements.
Ion Tech Ion Beam Mill on CHA Platform. Horizontal split chamber design. Wrty
Ion Tech Horizontal Ion Beam Mill Rotary substrate holder for multiple substrates CTI 10 Cryo Pump Ion Gun rebuilt just prior to facilty closing N Prior to facility closing. The ion gun was rebuilt by Ion Tech. It is in excellent condition. And carries our 4-month, no-nonsense warranty. Rotary tooling for multiple small substrates. Normal 0 For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for over 35 years. You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our 50,000 square foot facility is located in the metropolitan NYC area. Feel free to contact us through ebay mail. Or directly at nrscientific@gmail.com or at 201 592 1864 for additional photos ... moreand information. The system can also be customized for your application. Normal 0 Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf52%3E-141d7f8884c-0x10b-
Inficon AG, LI-9496 Balzers Sky CDG160A Vacuum Capacitance Diaphragm Gauge
Search our eBay Store! Inficon AG. LI-9496 Balzers Sky CDG160A Vacuum Capacitance Diaphragm Gauge SKU: JV-MAT-C-INFICONCDG Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Inficon AG. LI-9496 Balzers Sky CDG160A Vacuum Capacitance Diaphragm Gauge Model: CDG160A P/N: 370-000 Physical Condition: Good. Minor scuffs/scratches/sticker residue and signs of use. Functionality/Degree of Testing: We do not have the necessary resources to properly test this unit. Power cable is not included. Dimensions(L" x W" x H" 6.25*3.125*3.125 THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET ... moreMANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.ple
AIS automated imaging systems CONVEY 8 WAFER SORTER
21125 Up for sale is our AIS Convey 8 Advanced Imaging Systems Convey 8 wafer sorter. 200mm computer controlled CE marked. Excellent condition, sold as-is, where-is. Currently stored in our Germany warehouse. We can help arrange shipping if needed. Please make any reasonable offer on this great condition wafer sorter. Thanks for looking and happy bidding! Manufacturer: AIS Model: CONVEY 8 Type: WAFER SORTER Version: 200 MM Condition: excellent Vintage: Feb 2006 Sale condition: inquire Sales price: 20.000 USD Quantity: 1 Comments: AIS AUTOIMATED IMAGING SYSTEMS WAFER SORTER FOR 200 MM WAFERS COMPUTER CONTROLLED CE MARKED German Warhouse SECS/GEM LUDL ROBOT JOYSTICK LUDL PN 73000361 MAC2 XYZ JOYSTICK CONTROLLER LUDL PN 7300203 MAC22 18 INCH RACK FITTED WITH OCR QTY 3 8 INCH WAFER CASSETTE LOADERS DIMENSIONS ON BASE: 137 CM X 160 CM X 200 CM ... moreWEIGHT 300 KG
Custom Spindry Wafer Spinner
Custom Spindry Wafer Spinner Description Custom Spindry Wafer Spinner Includes 2 sizes of wafer spinner qty 1. 7.5 and qty 1, 6, This item requires freight shipping please contact us for a quote prior to purchasing. Manufacturer Custom Manufacturer Part Number Spindry Item/Ship Weight 57 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not ... moreincluded. If you have any questions please contact us before bidding. Shipping This item may require palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 46867 Feel free to call with any questions prior to placing a bid! IMPORTANT-PLEASE READ BELOW BEFORE BIDDING. Welcome to our eBay listing! To make your purchase as simple and easy as possible. Please refer to the detailed policies listed below. Our e-mails will come from auctions at recycledgoods.com. Your spam filter might delete them. So please check regularly. Orders will be shipped to the billing address of the credit card only! You should be able to pay by Credit Card using PayPal's guest checkout even if you don't have a PayPal account. You can also call us at(805)648-3300 with card details if you are unable to complete the online checkout. Pay
AMAT Centura MXP system
The system is complete and nice condition It has 3 chambers of MXP process on Centura Mainframe. Sold as-is or we can provide refurbished and installed and warranty for additional cost. please contact us for further detail inquiry.
AMAT Centura WXZ vintage 2000 system
AMAT Centura 1. Optima Type, 4 CH WXZ, process, vintage 2000, can convert or use for parts.
Motorola TEL MVME162 032
Motorola TEL MVME162 032. Used. Removed from service in full working condition.
TEL TEB102-1 NASS PCB
TEL TEB102-1 NASS PCB. Used. Removed from service in full working condition
Karl Suss Model 505 Optical Energy Controller for MJB Mask Aligner System
This auction is for a Karl Suss Model 505 Optical Energy Controller. As you can see from the pictures. This uni is in nice, clean condition with minor wear. Unit powers up, all buttons function, and the cathode function appears to operate. However, I lack the accessories to test the other functiuons, so it will be sold as-is. Feel free to email me with any questions. Thanks for looking and happy bidding! Powered by The free listing tool. List your items fast and easy and manage your active items. 1?RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2B%3E%3B1%3F-141ec763c24-0x10a-
Sonotek Sonix Ultrasonic Wafer Photoresist Film Spray Coating Deposition System
This auction is for a Sonotek Sonix Ultrasonic Spray Coating System. System includes: Sonotek Sonix Ultrasonic Spray Coating System Atomizing Spray Nozzle"SONOTEK" Engraved Marble Base Sonix Control Module All Required Data/Power Cords As you can see from the pictures. This unit is in very nice, very clean condition with very, very little wear. This system was removed from a working lab and appears to be complete. System powers up but I lack the knowledge/hardware to fully test functionality. Feel free to contact me with any questions. Thanks for looking and happy bidding! Powered by The free listing tool. List your items fast and easy and manage your active items.
PWMDRV1 Nikon Circuit Board 4S018-723 Nikon NSR-S205C Wafer Loader working
This PWMDRV1 Nikon circuit board 4S018-723 is used working surplus. The physical condition of the circuit board is good and clean. It was removed from a Nikon NSR-S205C wafer loader system. Part No: 4S018-723 Model No: PWMDRV1 Made in Jaoan. Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 16"x 16"x 10" 5-6 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See ... moreDetails Below) Lister AZ1 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged or
UTI RF Generator 100C P/N# 05107-0020 for Quadrapole MS
Click on Picture to Enlarge, UTI RF Generator 100C P/N# 05107-0020 for Quadrapole MS This is another fine Gizmo from SurplusGizmos located in Hillsboro Oregon. UTI RF Generator 100C P/N# 05107-0020 for Quadrapole MS Item Description and Features: Click on pictures to the left to view larger images. We are unable to test this item. Sold"as is" w/ no guarantee. Please look over the photos carefully and do not assume anything about the item(s) included in this listing. This item is sold as you see in the pictures. Unless otherwise stated. If it is not pictured, it is likely not included. We are not experts and do not claim to be with any of the items we sell. We do the best research we can in order to properly represent the item(s) as clearly and honestly as possible. We strongly encourage buyers to do their own research beforehand. ... moreIf you have any questions, please ask before you make your purchase and we will do our best to answer your questions to the best of our abilities. Item Condition: Used. Operating condition unknown Item is sold"as is" No guarantee Testing Done: No testing done You will Receive: 1) UTI RF Generator 100C P/N# 05107-0020 for Quadrapole MS Item Weight: Shipping Weight is approximately 18 pounds. If purchasing multiple items. We will send you an updated invoice with combined shipping when possible. You can also reach us by visiting our retail store directly or via phone during normal business hours. SurplusGizmos is located at: 5797 NW Cornelius Pass Road. Hillsboro Oregon, 97124 Retail hours are Tue- Fri 10am- 6pm. Sat 10am- 5pm Store phone is 503-439-1249 Feel free to stop by to examine items during regular hours. Shipping methods are as follows: Local pickup is abvailable but please call so we can have the item ready. Items are generally shipped in 2 to 3 business days(Tuesday thru Friday) after payment has cleared except for large objects that may take more time for packaging. International orders may take longer to ship due to delays with Post Office. Buyer is to pay a
Applied material DPS throttle Valve
Used DPS throthle valve sold as it is condition.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*3A%3Cd%3Ff6%3E-141f3702ce5-0xf6-
MKS Vacuum Gauge Verification MKSVGVS
MKS MKSVGVS CALIBRATION. VACUUM GAUGE VERIFICATION · UN-TESTED. Operational Condition Unknown. As Is. Where Is. Only Includes Items Shown BUYER IS RESPONSIBLE FOR ALL CRATING AND SHIPPING Additional Pictures and Info Available by Request
TYLAN MASS FLOW METER FM-361 20 SLPM ARGON W/ BROOKS 5836A1-1A1 ARGON 20 SLPM
TYLAN MASS FLOW METER FM-361 20 SLPM ARGON W/ BROOKS 5836A1-1A1 ARGON 20 SLPM Description: Thank you for your interest! We are auctioning off this TYLAN MASS FLOW METER FM-361 20 SLPM ARGON W/ BROOKS 5836A1-1A1 ARGON 20 SLPM. Acquired from government surplus. As you know with most government agencies. When a new grant comes in, they have to justify the grant by buying new equipment leaving very useful equipment for surplus. Comes as shown! Some cosmetic wear. USA CUSTOMERS- NO MEANS TO TEST. However will offer a 14 day guarantee to try it out fully with right of return. INTERNATIONAL CUSTOMERS- NO MEANS TO TEST. And due to the cost to ship internationally and the distance items have to travel, ALL items shipped outside of the USA are SOLD AS IS WITH NO GUARANTEES. We love our international customers and would love to have your business but ... moredue to the nature of the used equipment, and that all items are untested and used, all sales are final. No refunds or returns, please bid accordingly! 1. All equipment is sold-as-is. We are surplus equipment dealers and do not have the ability or knowledge to test equipment for functionality beyond plugging it in and describing what we observe as best we can. 2. All statements regarding products and their configurations are made to the best of our ability and with the assumption that the buyer is knowledgeable and proficient in the use of this type of equipment. 3.We have no knowledge of the history of the equipment. Due to the nature of used and surplus equipment no guarantees or warranties either expressed or implied are offered unless specifically noted in the description. 4. NO REFUNDS OR RETURNS will be allowed. No exceptions unless offered in the description. 5. No manuals.NO STANDARD POWER CORDS, accessories, software etc are included unless pictured and noted in the description. 6. It is the bidder's responsibility to understand that the terms of the auction. The nature of equipment offered and bid accordingly. Shipping: BUYER TO PAY SHIPPING IN THE US. Alaska&
Fusion Systems 200AC SC4528A Photo Resist Strip 150mm
Fusion Systems 200AC SC4528A Serial# 223 · Photoresist stripping at atmospheric pressure• High ashing rates allowing high system throughput• Low particulates with minimum residues• Endpoint detection or timed ashing process control• Independent gas flow control through 2 mass flow controllers• Maximum 3 step process to 999 second total cycle time• Capacity for storage of up to 26 processes in non-volatile memory• Resistance heated vacuum wafer chuck• Ozonizer produces 8% by weight of O3 at 24 slm with pure O2 gas feed• Temperature control via thermocouple sensor imbedded in wafer chuck• Typical range of operation: 2000- 3500 C• Two arm. High precision, three dimensional robot compatible with uni-cassette• Compatible with any"H" bar cassette• Process. Maintenance and diagnostic screens Dimensions: 35" W X 51" ... moreD X 70" H; 1.600 pounds Electrical: All standard voltages; 50 or 60 MHz; 3 phase; 7.0 KVA Connected power Compressed Air: 12 scfm at 70 psi Cooling Water: 0.35 gpm at 20 psi; must be 1.0 X 106 ohm-cm resistivity Oxygen: 25 slm@ 45 psi Nitrous Oxide: 1 slm@ 45 psi Ozonizer Chiller: 208- 240 VAC; 50/60 Hz; Single phase; 15 amp Vacuum: 20" Hg or greater Drain: Low back pressure. Vented gravity drain; suitable for service to 250o F UN-TESTED As Is. Where Is. Only Includes Items Shown. BUYER IS RESPONSIBLE FOR ALL CRATING AND SHIPPING Additional Pictures and Info Available by Request
FATHOM FLOWMETER GR SERIES MODEL GR-115-1-A-PW 300SCCM
FATHOM FLOWMETER GR SERIES MODEL GR-115-1-A-PW 300SCCM Description: Thank you for your interest! We are auctioning off this FATHOM FLOWMETER GR SERIES MODEL GR-115-1-A-PW 300SCCM. Acquired from government surplus. As you know with most government agencies. When a new grant comes in, they have to justify the grant by buying new equipment leaving very useful equipment for surplus. COMES AS SHOWN! Some COSMETIC wear. USA CUSTOMERS- NO MEANS TO TEST. However will offer a 14 day guarantee to try it out fully with right of return. INTERNATIONAL CUSTOMERS- NO MEANS TO TEST. And due to the cost to ship internationally and the distance items have to travel, ALL items shipped outside of the USA are SOLD AS IS WITH NO GUARANTEES. We love our international customers and would love to have your business but due to the nature of the used equipment, and ... morethat all items are untested and used, all sales are final. No refunds or returns, please bid accordingly! 1. All equipment is sold-as-is. We are surplus equipment dealers and do not have the ability or knowledge to test equipment for functionality beyond plugging it in and describing what we observe as best we can. 2. All statements regarding products and their configurations are made to the best of our ability and with the assumption that the buyer is knowledgeable and proficient in the use of this type of equipment. 3.We have no knowledge of the history of the equipment. Due to the nature of used and surplus equipment no guarantees or warranties either expressed or implied are offered unless specifically noted in the description. 4. NO REFUNDS OR RETURNS will be allowed. No exceptions unless offered in the description. 5. No manuals.NO STANDARD POWER CORDS, accessories, software etc are included unless pictured and noted in the description. 6. It is the bidder's responsibility to understand that the terms of the auction. The nature of equipment offered and bid accordingly. Shipping: BUYER TO PAY SHIPPING IN THE US. Alaska& Hawaii will be more! INTERNATIONAL BIDDERS WEL
KLA-Tencor Surfscan 5000 Inspection System ID# 3578
This auction is for a used KLA-Tencor Surfscan 5000. We believe this Surfscan 5000 to be fully operational BUT w e are selling it in As-Is/Where-Is condition with no warranty or representations either expressed or implied. We have not checked it out or tested it. Please ask questions or indicate concerns prior to bidding. By purchasing this item you agree to all stated terms. All auction items are sold as advertised. As-Is/Where-Is without any warranty or representations either expressed or implied. This item is available for inspection at our 40,000 square foot facility just outside Atlanta, GA. I have more photos. Let me know if you would like them emailed to you. You can call me at 408-313-2284- ask for Cody. Packaging and/or crating services available onsite. Prior to sale. Buyer will contact seller to determine how the item will be packaged ... moreand shipped. The agreed to pricing for packaging and crating will be added to the listing prior to the purchase. For the following states: CA. GA, MI, PA, WA, and NC ship-to locations sales tax is required by law. Please contact seller for sales tax rates in any of these 6 states prior to purchasing this item.
Hama Laboratories DD-50 Laser Sensor Wafer Mapping
DD-50 laser wafer mapper sensor. Good condition, removed for upgrading the robots.
Extec LABCUT 1010 Precision Laboratory Diamond Saw / Cutter
Extec Corp. Precision Laboratory Diamond Saw Labcut 1010 The unit has been used and seems to work as it should. It does power up and the blade turns. That is about all I know about it. I got it from the metallurgical lab of a company going out of business. There are no attachments or accessories and some parts may be missing, so it is sold"as is" It weighs about 40 lbs. So packing and shipping is going to be a challenge. The $90.00 shipping charge is an estimate. High bidder please contact me prior to payment to make shipping arrangements. Please feel free to contact me for more info. Thanks for Looking!
Headway Research Inc. Spinner System (PWM32-PS-CB15)
Headway Research Inc. Spinner System(PWM32-PS-CB15) Up to 10.000 rpm, for relatively light loads such as silicon wafers, small photomasks, etc. PID 46868
Sun Microsystems 411698000001 03 REV:50 Good Condition
Component4all O Payment: we accept PAYPAL ONLY. Shipping& Handling: We will ship worldwide. Registered mail only. Handling time: 1-3 business day Shipping time: 7-28 business days. All of the items will be dispatched within 24h from payment accepted. Please be advised that international delivery highly depends on your local customs and post service. Custom fees. Tax or VAT or any delay caused by customer's country regulation would be the customer’s responsibility. Express delivery is available at additional coast via EMS. UPS or FedEx. Please contact me for further details. Return policy: We have a simple return policy. 100% money back guarantee. Every product is tested before shipping. High quality and 100% accuracy to the picture is guaranteed. If this item is defective upon receipt from any reason. Please contact me and I promise ... moreto solve it fast& satisfactory. Contact: For any questions or concerns please do not hesitate to contact us. We will get back to you within 12-24 hours. About Us: Hello dear buyer. Our goal is to give you the best buying experience on ebay. We have a lot of experience in customer relations selling over the internet and our goal is execution excellence& 100% customer's satisfaction. Logistics: MAD C- 31 K1
Wafer carriers Fluoroware 100 mm (4") diameter
This is a clean wafer carrier for 4" diameter(100mm) silicon wafers. The carriers are clean. They are made by Flouroware. We have 11 of these. But we're listing this as a single item. If you are interested in more, contact us as sales@shapechange.com.
Lot of 4 Entegris Ultrapak 200mm Wafer Shipping Boxes
200 MM ULTRAPAK® WAFER SHIPPING BOX WITH WAFERSHIELD MATERIAL This item is in very clean condition. includes the box and the 25 cassette holder. Sold in lots of 4 g.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bjw%60hquvg%3Eg-14300e50ea8-0xfc-
Branson / IPC 03100-S PM-119 RF Generator
Branson/ IPC PM-119 RF Generator(03100-S) Branson/ IPC 03100-S PM-119 RF Generator PID 47525
ULTRA-FLO ULTRAFLO MASS FLOW CONTROLLER-UC2-21SO2 N2 100SCCM -f
ULTA-FLO ULTRAFLO MASS FLOW CONTROLLER-UC2-21SO2 N2 100SCCM-f Description: Thank you for your interest! We are auctioning off this ^^ ULTA-FLO ULTRAFLO MASS FLOW CONTROLLER-UC2-21SO2 N2 100SCCM-f. Acquired from government surplus. As you know with most government agencies. When a new grant comes in, they have to justify the grant by buying new equipment leaving very useful equipment for surplus. Comes as shown! Some cosmetic wear. USA CUSTOMERS- NO MEANS TO TEST. However will offer a 14 day guarantee to try it out fully with right of return. INTERNATIONAL CUSTOMERS- NO MEANS TO TEST. And due to the cost to ship internationally and the distance items have to travel, ALL items shipped outside of the USA are SOLD AS IS WITH NO GUARANTEES. We love our international customers and would love to have your business but due to the nature of the used ... moreequipment, and that all items are untested and used, all sales are final. No refunds or returns, please bid accordingly! 1. All equipment is sold-as-is. We are surplus equipment dealers and do not have the ability or knowledge to test equipment for functionality beyond plugging it in and describing what we observe as best we can. 2. All statements regarding products and their configurations are made to the best of our ability and with the assumption that the buyer is knowledgeable and proficient in the use of this type of equipment. 3.We have no knowledge of the history of the equipment. Due to the nature of used and surplus equipment no guarantees or warranties either expressed or implied are offered unless specifically noted in the description. 4. NO REFUNDS OR RETURNS will be allowed. No exceptions unless offered in the description. 5. No manuals.NO STANDARD POWER CORDS, accessories, software etc are included unless pictured and noted in the description. 6. It is the bidder's responsibility to understand that the terms of the auction. The nature of equipment offered and bid accordingly. Shipping: BUYER TO PAY SHIPPING IN THE US. Alaska& Hawaii will be more! INTERNAT
ULTRA-FLO ULTRAFLO MASS FLOW CONTROLLER-UC2-21SO1 N2 100SCCM -c
^^ ULTA-FLO ULTRAFLO MASS FLOW CONTROLLER-UC2-21SO1 N2 100SCCM-c Description: Thank you for your interest! We are auctioning off this ^^ ULTA-FLO ULTRAFLO MASS FLOW CONTROLLER-UC2-21SO1 N2 100SCCM-c. Acquired from government surplus. As you know with most government agencies. When a new grant comes in, they have to justify the grant by buying new equipment leaving very useful equipment for surplus. Comes as shown! Some cosmetic wear. USA CUSTOMERS- NO MEANS TO TEST. However will offer a 14 day guarantee to try it out fully with right of return. INTERNATIONAL CUSTOMERS- NO MEANS TO TEST. And due to the cost to ship internationally and the distance items have to travel, ALL items shipped outside of the USA are SOLD AS IS WITH NO GUARANTEES. We love our international customers and would love to have your business but due to the nature of the ... moreused equipment, and that all items are untested and used, all sales are final. No refunds or returns, please bid accordingly! 1. All equipment is sold-as-is. We are surplus equipment dealers and do not have the ability or knowledge to test equipment for functionality beyond plugging it in and describing what we observe as best we can. 2. All statements regarding products and their configurations are made to the best of our ability and with the assumption that the buyer is knowledgeable and proficient in the use of this type of equipment. 3.We have no knowledge of the history of the equipment. Due to the nature of used and surplus equipment no guarantees or warranties either expressed or implied are offered unless specifically noted in the description. 4. NO REFUNDS OR RETURNS will be allowed. No exceptions unless offered in the description. 5. No manuals.NO STANDARD POWER CORDS, accessories, software etc are included unless pictured and noted in the description. 6. It is the bidder's responsibility to understand that the terms of the auction. The nature of equipment offered and bid accordingly. Shipping: BUYER TO PAY SHIPPING IN THE US. Alaska& Hawaii will be more! INTER
Oerlikon/Leybold 6"/8" single wafer sputtering system
Oerlikon/Leybold 6"8" wafer sputtering system for R&D or production. Made in 2004 and stop production in 2007. Equipment looks new. Welcome for inspection machine) Machine including: One sputtering unit and control cabinet Inificon AG Balzers VAP025-A, BERGER LAHR VRDM368/50LWC, Inficon pkr251, Leybold T 1600 Tubo Pump, MKS 1179BX Flow control, E700 control panel, AE Pinnacle 3000 3152470-100a Power Berger Lahr wdp3-014.0801 Driver Oerlikon ARQ 151 Cathode Other devices. Machine sold as it is, di,RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bishhov%3C%3Edi-14300f5de32-0xfb-
LIQUID NITROGEN COLD TRAP AND VALVE MANIFOLD
LIQUID NITROGEN COLD TRAP AND VALVE MANIFOLD COLD TRAP: MALE 1 3/4 INCH ON ONE SIDE AND FEMALE 1 3/4 INCH ON THE OTHER SIDE SOLD AS IS AS PICTURED
Varain Implanter RDAC PCB subassembly E-004520100
This PCB was functioning when pulled from the tool. But used. Note: All manufacturers names and models are used for illustrative purposes only. Any trademarks. Trade names or copyrights remain solely the property of the manufacturers. Unless otherwise stated, all items are used, I am not a manufacturer authorized representative.
TEL Alpha 8S Vertical Furnace: Tube Door
TEL Alpha 8S: Tube Door. Panel was pulled from a well maintained working tool. Buyer is responsible for shipping and any applicable taxes.
TEL Alpha 8S Vertical Furnace: Floppy Drive
TEL Alpha 8S: Floppy Drive. Drive was pulled from a well maintained working tool. Buyer is responsible for shipping and any applicable taxes.
TEL Alpha 8S Vertical Furnace: Ratio Board
TEL Alpha 8S: Ratio Board. Ratio I/L Board. +/ 15VDC. +100mA, 50mA. Panel was pulled from a well maintained working tool. Buyer is responsible for shipping and any applicable taxes. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf52%3E-143033e78f0-0xf7-
TEL Alpha 8S Vertical Furnace: Phase Control Unit
TEL Alpha 8S: Phase Control Unit. PCU4152431. B8855. Control Unit was pulled from a well maintained working tool. Buyer is responsible for shipping and any applicable taxes.