Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
This site contains affiliate links for which I may be compensated
$
1495.00
Buy It Now
$95.00 Shipping
Condition: Used
Location: Union City, United States
ENI OEM-650A Solid State Power Generator OEM-6A-11491-51 Rev.G. Powers Up! S/N 598 WYSIWYG We never warranty calibration. Payment must be made within ... more3 days of the close of the auction. W e never warranty calibration. THERE IS NO PICK UP ONLY SHIPPING FOR THIS UNIT. WE WILL COMBINE SHIPPING WHEN POSSIBLE. BY BIDDING YOU CONFIRM THAT YOU HAVE READ. UNDERSTAND, AND AGREE TO OUR SALES TERMS. So be sure to email your questions before bidding. We strive for all 5 stars in Detailed Seller Ratings! Payment: Payment is required with in three days of the end of the auction. We accept the following payment methods: Paypal Credit Cards up to $2500.00(AMEX. MC, VISA) from US purchases only NO WIRE TRANSFERS~NO EXCEPTIONS Colorado residents pay state sales tax. 2.9% Shipping: This item must ship to a commercial address. No home delivery We will ship your item within 5 days after I receive your payment. Because we want your item to get to you in the same condition we send it. We are proud to ship products using Insured FedEx for your continuous US shipments. If you are a territory of the US. Hawaii or Alaska please email for a shipping quote, the posted amount does not apply to you. You will receive a tracking number directly from FedEx There is no pick up. No exceptions. We are not a retail outlet. All purchases over $100.00 inside the continental US will be insured at no additional charge. To insure there are no delays in your delivery. Please include your phone number in your Ebay address profile. We do not ship to PO Boxes. We cannot ship any item over 75lbs to a residential address If the customer cancels after completion of the auction there will be a $20 charge, If you require a signature for your delivery. Please inform us BEFORE you pay your invoice because there is an extra charge by FedEx for this service. International Buyers MUST READ BEFORE BIDDING: IF YOU LIVE OUTSIDE THE CONTINUOUS US YOU MUST EMAIL AMELIA WITH YOUR COUNTRY. POSTAL CODE AND CITY TO GET A CORRECT SHIPPING AND HANDLING QUOTE BEFORE BIDDING
$
808.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. SHIELD,CHMBR,RIGHT,PC300. Serial numbers or country of manufacture may vary.
$
20000.00
Buy It Now
Condition: Seller refurbished
Location: North Billerica, United States
$
809.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
SHIELD,CHAMBER,BOTTOM FRONT,PC300. The physical condition is great. Serial numbers or country of manufacture may vary.
$
12.56
Buy It Now
$6.05 Shipping
Condition: New – Open box
Location: Shingle Springs, United States
Coiled spring
$
808.21
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
The physical condition is good, but there may be signs of previous use and handling. SHIELD, PASSTHRU, ARCS. Manufacturer Refurbished.
$
750.00
Buy It Now
$29.48 Shipping
Condition: New – Open box
Location: San Jose, United States
AMAT Spares is unable to test item to verify the condition. AMAT Spares. We are also interested in your unwanted or excess Applied Materials inventory.
$
405.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: 261376. INSERT PLASTIC GIMBAL RING VIPRR. Serial numbers or country of manufacture may vary.
$
2012.22
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
The physical condition is good, but there may be signs of previous use and handling. SHIELD,INNER,ADPTR,CU/ALUM,PRO. Manufacturer Refurbished.
$
1507.22
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
This AMAT Applied Materials 0040-61513 200mm PCII Shield is manufacturer refurbished surplus. The physical condition is good, but there may be signs of ... moreprevious use and handling. Removed from a AMAT Applied Materials Endura PVD Physical Vapor Deposition System.
$
2507.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Varian 125mm Wafer Implanter Wheel 5" Disc for 0° Machines is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. 125mm Wafer (4.9"). Serial numbers or country of manufacture may vary.
$
6000.00
Buy It Now
Condition: Seller refurbished
Location: New Windsor, United States
Refurbished and upgraded Veeco V-300 bell jar system in excellent, like-new, working condition. Liquid nitrogen trap. The system has potential to be equipped ... morewith 5 sources. only one source is available, with respect to stated pricing.
$
1005.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Mattson Technology 40-594-851 8" Ceramic Chamber Ring is used working surplus. The physical condition is good, but there are signs of previous use ... moreand handling. Serial numbers or country of manufacture may vary.
$
2503.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Lam Research 02-426825-00 Heater Pedestal PED Assembly is used working surplus. The unit was tested for resistance (see photos). The physical condition ... moreis good, but there are signs of previous use and handling.
$
995.00
Buy It Now
$111.09 Shipping
Condition: Used
Location: North Billerica, United States
PARTLOW 1460.
$
1450.00
Buy It Now
$43.38 Shipping
Condition: Used
Location: Morgan Hill, United States
Model F-IM2 Newport Optical Fiber Microinterferometer ID-AWW-6-2-4-001Condition: Used. Not test. We sell it at AS Is , where is, no return. No warrantyPls ... morecontact us by email if you have any questions. Appreciate your time.
$
1650.00
Buy It Now
$40.00 Shipping
Condition: Used
Location: Grand Prairie, United States
You Are Buying a Novellus 02-157910-00 Assy. Ethernet Hub Box, Loto. Sold As Is. Novellus Assy. If you don’t see it you probably won’t get it.
$
411.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary. Part No: 301-08333-00.
$
760.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary.
$
504.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. 8" WAFER RING, SENSOR HEAD. Part No: 0020-79091. Serial numbers or country of manufacture may vary.
$
905.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Lam Research 716-011763-008 Wafer Clamp is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... moreRING, EDGE 8 IN, WAFER CLAMP. Part No: 716-011763-008.
$
9500.00
Buy It Now
$375.00 Shipping
Condition: Used
Location: Westminster, United States
Edwards E306A COATER Evaporator System Logitech Thin Film Wax Evaporator. LOGITECH IL003 RATE + THICKNESS MONITOR. Edwards Pirani 10 and Edwards Penning ... more8 gauge. Edwards Vacuum Switch VSK.1.
$
259.99
Buy It Now
$21.88 Shipping
Condition: New
Location: San Jose, United States
$
256.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Serial numbers or country of manufacture may vary.
$
608.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. SEQUEL, SPINDLE PM KIT. Kit Includes. Part No: 04-350398-00. Part No: 15-00721-00 (Qty. Part No: 15-00399-02 (Qty. Part ... moreNo: 15-00399-04 (Qty. ).
$
501.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This 3M 051111-72260-2 Diamond Pad Conditioning Disk is new surplus. The physical condition is great. Part No: 051111-72260-2. Serial numbers or country ... moreof manufacture may vary. Model No: A98-AF (4.25 in).
$
2501.24
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: 853-194192-001.
$
1.75
Buy It Now
$23.29 Shipping
Condition: New
Location: Grand Junction, United States
Ethylene Glycol. Actual OD: 0.142". Actual ID: 0.042". Temperature Range: 0 to 400 degrees F. LOCATION: CAB4 - 100608 REF 10/3821. Specifications: ASTM ... moreD2000, SAE AS568, SAE J200. Vegetable Oils. Transmission Fluid.
$
3500.00
Buy It Now
$84.55 Shipping
Condition: Seller refurbished
Location: Brentwood, United States
Quartz Static Bath 150mm with drain. (6)
$
2508.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary. ASSY,PED,150,C,STA ... more1,NEC SP.
$
1201.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Nikon OPTISTATION 7 Automatic Wafer Inspection System. This Nikon KAB11240/A06-0 300mm Wafer Arched Holder Assembly is used working surplus. ... moreThe physical condition is good, but there are signs of previous use and handling.
$
809.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
SHIELD,CHAMBER,BOTTOM RIGHT,PC300. The physical condition is great. Serial numbers or country of manufacture may vary.
$
950.00
Buy It Now
$43.38 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Lam Research Lam A06-001-01 Window VentedCondition:new.We did not test it. We sell it at AS Is , where is, no return. No warrantyPls contact us by email ... moreif you have any questions. Appreciate your time.Location:NM-robotroom
$
904.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: E11001574. The physical condition is good, but there are signs of previous use and handling. Inventory # CONF-1885.
$
808.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. SUPPORT, QUARTZ GUIDE RING.
$
500.00
Buy It Now
$175.12 Shipping
Condition: New – Open box
Location: Tampa, United States
UCC 1730-274 JOINT EXPANSION 373. JOINT, EXPANSION, BELLOWS, 10", UCC, 1730-274.
$
239.20
Buy It Now
$20.50 Shipping
Condition: Seller refurbished
Location: Bonita Springs, United States
This was just removed from a working system.
$
194.99
Buy It Now
Free Shipping
Condition: Used
Location: San Jose, United States
(From San Jose California :). CONDITION: USED. Get it Now! Happy Sales!
$
1595.00
Buy It Now
$74.66 Shipping
Condition: Used
Location: San Jose, United States
AMAT Spares is unable to test item to verify the condition. AMAT Spares. We are also interested in your unwanted or excess Applied Materials inventory.
$
7.24
Buy It Now
$5.45 Shipping
Condition: New
Location: Shingle Springs, United States
Canon MPA-1500, Nut, BD0-2814
$
2011.21
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
This Novellus Systems 02-169211-00 Cover Assembly is refurbished surplus. Includes test report and the units plastic handle has a minor chip (see photos). ... moreThe physical condition is good, but there may be signs of previous use and handling.
$
1012.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Asyst Technologies 4002-7627-01 IsoPort Loader Assembly 3200-1225-02 is used working surplus. The physical condition is good, but there are signs ... moreof previous use and handling. Serial numbers or country of manufacture may vary.
$
1950.00
Buy It Now
$70.35 Shipping
Condition: Used
Location: Morgan Hill, United States
Hewlett Packard HP 16072A 16072-26561 A-3030 W/ Adaptor For HP4085B AWW-6-3-010Condition: Used. We sell it at AS Is , where is, no return. No warrantyPls ... morecontact us by email if you have any questions. Appreciate your time.
$
75.00
Buy It Now
$43.38 Shipping
Condition: Used
Location: Morgan Hill, United States
Olympus Microscope W/ VM VMZ 1x-4x ID-AWW-7-2-2-003Condition: Used. Not test. We sell it at AS Is , where is, no return. No warrantyPls contact us by ... moreemail if you have any questions. Appreciate your time.
$
503.10
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: 108548002.
$
405.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: 701840. Serial numbers or country of manufacture may vary.
$
180.00
Buy It Now
$17.50 Shipping
Condition: Used
Location: San Jose, United States
24V 488980C2 Solenoid Valve.
$
37503.12
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: PR300Z. The physical condition is good and there are visible signs of previous use and handling. Process Module. Chemical Module. Transfer Module. ... moreItem Condition: Untested Surplus, Sold As-Is.
$
412.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: 105653001. Model No: SHIELD, EXIT B, L. APERTURE.
$
1750.00
Buy It Now
$47.53 Shipping
Condition: Used
Location: Morgan Hill, United States
1X0-0170-001 EKSUSA4COM STB System For Gasonics Aura 3010,3000 AWD-D-1-0-021-007Photos are for 2 and the price is for one.Condition: Used.Not tested! ... moreWe sell it at AS Is , where is, no return. No warrantyIt is subject to prior sale without notice. Pls check the availability with us. Pls contact us by email directly if you have any questions. Appreciate your time.
$
1510.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: H0796T01. The physical condition is good, but there are signs of previous use and handling. Part No: ITEM # 11, Y PLATE OFFSET GAP JIG (Qty. ... moreSerial numbers or country of manufacture may vary. ).
$
1295.00
Buy It Now
$47.53 Shipping
Condition: New
Location: San Jose, United States
AMAT Spares is unable to test item to verify the condition. AMAT Spares. We are also interested in your unwanted or excess Applied Materials inventory.
$
605.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. WAFER PLATE LIFT, 200MM. Part No: 372-15003-1. Serial numbers or country ... moreof manufacture may vary.
$
1509.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: 520-00829-01. Serial numbers or country of manufacture may ... morevary.
$
1250.00
Buy It Now
$70.35 Shipping
Condition: Used
Location: Morgan Hill, United States
Delta Cathode Cooling Part, Perkin-Elmer 4450 4480 Sputtering Equp ID- DW2-3Condition: used.looks good. Not tested. We sell it at AS Is , where is, no ... morereturn. No warrantyPls contact us by email if you have any questions. Appreciate your time.
$
1505.23
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Hitachi High Technologies 2-829543-03 Quartz Front Ring is new surplus. The physical condition is great. Serial numbers or country of manufacture ... moremay vary. Sale Details.
$
1107.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. DIELECTRIC PLATE, D-T. Part No: 3Z05-200148-V1.
$
30.00
Buy It Now
$12.50 Shipping
Condition: New – Open box
Location: San Jose, United States
Fitting: 3/8"ORFS. AMAT 1/4x40"Braided Flex Hose. 6-6-6C-40".
$
400.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Serial numbers or country of manufacture may vary.
$
59.99
Buy It Now
$4.43 Shipping
Condition: New
Location: Phoenix, United States
1.125" UGC-6.35 GR TK-FUJIKIN IGS Stainless Steel Gasket.
 In 

Former Listings  
 
Sopra GES-5 Spectroscopic Ellipsometer
This auction is for a used Sopra GES-5 Spectroscopic Ellipsometer. Sopra GES-5 Includes: Model: GES-5- Spectroscopic Ellipsometer- 12" Vacuum Chuck- Allows for Variable-Angle Broadband Spectroscopic Ellipsometry- Covers a Spectral Range from 210nm to 900nm- System Computer- Sopra Software- System Printer- Vintage: 2002- Operators manuals. This system looks to be complete. It powers up but we do not know how to take measurements. We encourage you to come to our facility and checkout this Sopra GES-5 in person. We are selling this Sopra GES-5 Spectroscopic Ellipsometer. In As-Is/Where-Is condition with no warranty or representations either expressed or implied. We are not knowledgeable about Sopra Ellipsometers. Ask for more photos if you would like them. I have about a dozen more. Please ask questions or indicate concerns prior to bidding. ... moreIf you don't see it in the photos, you won't get it- so ask for more photos if needed. By purchasing this item you agree to all stated terms. All auction items are sold as advertised, As-Is/Where-Is without any warranty or representations either expressed or implied, unless stated in the item description. This item is available for inspection at our 40,000 square foot facility just outside Atlanta, GA. Packaging and/or crating services available onsite. Prior to sale. Buyer will contact seller to determine how the item will be packaged and shipped. The agreed to pricing for packaging and crating will be added to the listing prior to the purchase. For these ship-to locations: CA. GA, MI, PA, WA, NC sales tax is required by law. Please contact seller for sales tax rates in any of these 6 states prior to purchasing this item.
MTS Nano SA2 Indenter
This auction is for a used MTS Nano SA2 Indenter. MTS Nano SA2 Includes: Control Unit; Computer; Main System; Acoustic Enclosure; Displacement Resolution: 0.0002 nm; Maximum Indentation Depth: 15 um; Loading Column Mass: Load Application: Coil/Magnet Assembly; Displacement Measurement: Capacitance Gauge; Typical Leaf Spring Stiffness: 100 N/m; Typical Damping Coefficient: 0.02 Ns/m; Typical Resonant Frequency: 180 Hz; Maximum Load: 10 mN(1 gm) Load Resolution: 1 nN(0.1 ugm) Useable Surface Area: 35 x 30 mm; Position Control: Remote with Mouse We are selling this MTS Nano SA2 Indenter. In As-Is/Where-Is condition with no warranty or representations either expressed or implied. This system looks to be complete but we are not knowledgeable about MTS Nano SA2 Indenter. If you don't see it in the photos, you won't get it. Ask for more ... morephotos if you would like them. Please ask questions or indicate concerns prior to bidding. We have not checked it out or tested it- so we do not know is condition. By purchasing this item you agree to all stated terms. All auction items are sold as advertised, As-Is/Where-Is without any warranty or representations either expressed or implied, unless stated in the item description. This item is available for inspection at our 40,000 square foot facility just outside Atlanta, GA. Packaging and/or crating services available onsite. Prior to sale. Buyer will contact seller to determine how the item will be packaged and shipped. The agreed to pricing for packaging and crating will be added to the listing prior to the purchase. For these ship-to locations: CA. GA, MI, PA, WA, NC sales tax is required by law. Please contact seller for sales tax rates in any of these 6 states prior to purchasing this item.
Semitool Board 14828
Semitool Board 16802-521 Questions please call(214) 828-7854 4b
jewerly plating rectifier
used rectifier for jewerly plating accepting offers
AMAT/AKT SUB-V 50-11 Substrate Voltage Board 0111-57005 PCB PCA Card Assembly
Search our eBay Store! AMAT/AKT SUB-V 50-11 Substrate Voltage Board 0111-57005 PCB PCA Card Assembly SKU: JV-SAM-A-AMAKT5011SV Condition: Used Packaging: OEM Warranty: 30 day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are only included if pictured or listed in description. If in doubt, please contact us before purchasing. Click an Image to Enlarge AMAT/AKT SUB-V 50-11 Substrate Voltage Board 0111-57005 PCB PCA Card Assembly. Model: SUB-V 50-11. P/N: 0111-57005. Untested. Dimensions(L”xW”xH” 12 x 10-3/8 x 3/4. As a large liquidator for many vendors. Our rating system is as follows. On a scale from 1- 10. With 10 being in new or excellent condition, this item is rated as a 8. SEE PHOTOS. All images taken in house of the unit(s) being sold. Except for multiple ... morequantities where item received will be in similar or better condition. Please review images thoroughly before purchasing. Additional information may be found searching online. We do not have additional info. Only pictured items will be included. All parts. Including accessories and cables are only in cluded if pictured or listed in the description. All pictures are taken in house. If you require more information. Please contact the manufacturer and/or research online. As a large liquidator, we do not carry additional information beyond what has been provided to us above. This FDA DISCLAIMER is for MEDICAL DEVICES only and not for all our products: The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. Our Contact: SVC ASSET MANAGEMENT. INC. 1
AMAT/AKT COL-C 50-10 Column Control Board PCB PCA Board Card Assembly
Search our eBay Store! AMAT/AKT COL-C 50-10 Column Control Board PCB PCA Board Card Assembly SKU: JV-SAM-A-AKTCOLC5010 Condition: Used Packaging: OEM Warranty: 30 day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are only included if pictured or listed in description. If in doubt, please contact us before purchasing. Click an Image to Enlarge AMAT/AKT COL-C 50-10 Column Control Board PCB PCA Board Card Assembly. Model: COL-C 50-10. P/N: 0101-57012 Rev. 001. Untested. Dimensions(L”xW”xH” 12 x 10-3/8 x 3/4. As a large liquidator for many vendors. Our rating system is as follows. On a scale from 1- 10. With 10 being in new or excellent condition, this item is rated as a 8. SEE PHOTOS. All images taken in house of the unit(s) being sold. Except for multiple quantities ... morewhere item received will be in similar or better condition. Please review images thoroughly before purchasing. Additional information may be found searching online. We do not have additional info. Only pictured items will be included. All parts. Including accessories and cables are only in cluded if pictured or listed in the description. All pictures are taken in house. If you require more information. Please contact the manufacturer and/or research online. As a large liquidator, we do not carry additional information beyond what has been provided to us above. This FDA DISCLAIMER is for MEDICAL DEVICES only and not for all our products: The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. Our Contact: SVC ASSET MANAGEMENT. INC. 1096 PEC
Verteq Spin Rinse Dryer Rotor A182-39MLB 4713-7E, SRD, 4-Bolt Semitool 4", 100mm
Verteq Spin Rinse Dryer SRD Rotor A182-39MLB 4713-7E 4-Bolt Rotor for 100mm cassette Will expedite shipment if necessary. Will combine on shipping. Be sure to let us know what you need. Sales in Texas must include 8.25% sales tax or provide a copy of a resale certificate. We can use your FedEx. UPS or other account to ship if you provide an account number. We prefer shipping overseas using FedEx International Economy. Please contact us for shipping rates overseas. E0004
MKS INSTRUMENTS 1159B MASS FLOW CONTROLLER 1159B-00050RV-S HE 50SCCM
MKS Instruments Mass Flow Controller 1159B-00050RV-S Gas HE Flow 50SCCM.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*3A%3Cd%3Ff6%3E-143b345eb33-0xf6-
APPLIED MATERIALS AMAT ENDPOINT DETECTOR 0190-10025 VERITY INSTR. 483NM 390NM
This listing is for an Applied Materials AMAT Endpoint Detector 0190-10025 Dual Detector Channel 2 483nM Channel 1 390nM In good condition Verity Instruments ADP220 300459-1204-0412082 Part#10043985AT Serial# 0412082
Veeco VE400 Filament Evaporator/Cabe SAT Test Bed
Veeco VE400 Filament Evaporator in Custom Frame Operational when Professionally Decommissioned; Includes the following that are in the frame. Ready to ship: Veeco 4” diffusion pump• Welch 1397 Mechanical pump• Right angle hi-vac valve• 18” X 12” Pyrex bell jar• Stainless steel collar• Aluminum cover• 8 each 2 ¾” conflate flanges• Liquid Nitrogen Coldfinger style trap• Motorized hoist• Previously used for gold evaporation• Manual valves The strap was put in place after the pictures so we could move the unit in tact. Everything listed is included with the system and the pumps are a great value- the Welch is an industry work horse. System was operational when decommissioned and purchased from local fab. Currently In Stock in our Union City. CA warehouse, please come by and take a look! We'd like to show off the good condition this system ... moreis in and let you see for yourself. Please feel free to ask any questions. Thanks for stopping by& additional pictures are continually being updated and posted. If you would like pictures of any specific part of the system. Please Email and let us know. Check Out: Purchase: Making purchases is easy and simple. To place an order for procurement. Please click the“Buy It Now” button. Follow to the next page for payment instructions. Payment: Click the“Pay Now” button at the top of the E-Bay page to proceed with the checkout and payment process. Payment must be made within 5 days of purchase. Accepted Forms: We accept PayPal. Or you may pay on your credit card via PayPal. When paying via PayPal. Payments must include your shipping address and should be submitted via the E-bay payment process. Sales Tax: For California customers. Sales tax is added and depends upon your location. If you are tax exempt. Please provide a copy of your Tax Exempt Certificate prior to check out. Please do not send payment before receiving a revised invoice. Shipping: Shipping rates are the responsibility of the customer and are not refundable. Rates include handling. Packaging materials
IPEC Speedfam Novellus PWS SOI 200/200mm Plasma Enhanced Chemical Etching System
IPEC Speedfam Novellus PWS SOI 200/200mm Plasma Enhanced Chemical Etching System Outstanding Condition Includes: Full vacuum system Integral ADE AcuMap II Edwards ADE 31 Pump Affinity Chiller(208v/ 3 Phase) Transformers Controller Stand with Controllers Interconnect cables Full Set of Documentation Manuals Available for inspection Please Email with questions From the Manufacturer: Plasma-Assisted Chemical Etching Summary: A BMDO-sponsored non-mechanical process to shape and polish optical surfaces. Called plasma-assisted chemical etching(PACE) is now being used for precision shaping of ultra-flat silicon wafers and silicon-on-insulator(SOI) wafers. The process has resulted in three products whose total sales topped $10 million in 1997. Technology Description: In 1985. The Perkin-Elmer Corporation(Norwalk, CT) developed a non-mechanical process ... moreto shape and polish optical surfaces. This process, called plasma-assisted chemical etching(PACE) is now being used for precision shaping of silicon and silicon-on-insulator(SOI) wafers. In PACE, a plasma-excited reactive gas chemically combines with the surface material to generate a volatile product that removes a highly predictable and controllable amount of the substrate. A high-speed, thin-film mapper begins the process by measuring the film thickness at thousands of points. The map is used to determine the amount of substrate that needs to be removed at each grid point to achieve uniformity across the wafer. The material is then placed on a programmable stage in the PACE processing chamber where it moves under the spatially confined plasma etch tool. A scanning mechanism is used to control the material removal at each location on the wafer by varying the amount of time the plasma tool head“dwells” at each point. The plasma contains ions, free radicals, and neutral species, all with low kinetic energies. Vertical etch rates of over 100 micrometers per minute have been demonstrated in silicon. These etch rates allow wafer processing speeds comparable to other
Sumco DT032 300mm Silicon Test Wafers 25 Count Boat With Oxide
This Sumco DT032 is used working surplus. Sold as a boat of 25. The physical condition is good. But there are scratches from previous use and handling. Part No: DT032 Size: 300mm(12" 25 Wafers Per Boat Method: MCZ Orientation: 100) 0.00° ±1.00° Type: P Dopant: Boron Res. 1.000-50.000(Ω cm) THK: 750.0-800.0(µm) Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 20"x20"x20" 22 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured ... moreserial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 14 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or pa
Drytek Triode 384T Plasma Metal Etch Etcher System *LOW OPENING BID!*
This auction is for a Drytek Triode 384T Plasma Etch System. As you can see from the pictures. This unit is in extremely clean condition with minimal wear and mostly complete. I have not powered system up(lack the power) and it does appear to be missing at least a couple internal parts, sold as-is. System also comes with an assortment of original manuals(see last picture) Repair or use for its immense amount of rare spare parts! Feel free to contact me with any questions. Thanks for looking and happy bidding! Powered by The free listing tool. List your items fast and easy and manage your active items.
Applied Materials D I/O Board 0100-20003 REVD
Applied Materials D I/O Board 0100-20003 REVD Item is sold as is. If you have any question regarding this item please feel free to ask.
Lam Research 9600 TCP 5" QSD Paddle 715-021812-005
Lam Research 9600 TCP 5" QSD Paddle 715-021812-005 Item is sold as is. Please feel free to ask any questions regarding this item.
CVP Cooke Vacuum Products CVE 301 FR Thermal Filament Evaporator System Z
Up for Sale is a CVP Cooke Vacuum Products Model CVE 301 FR Thermal Filament Evaporator System I do not know a lot about this system. I am selling it AS IS. Because I have no way of testing it and although it looks pretty good physically, it is an older machine. Judging by the wires in the cut end of the power cord, this is probably a 220 volt, single-phase machine. Overall. It looks to be in good condition, but I did notice a ~6" long crack in the Pyrex dome piece. It appears to be holding together well, I just thought I'd mention that. This will ship via freight. I can ship it to a Commercial address. With fork-lift or loading dock for $165. If you need lift-gate delivery, there may be an extra charge, so please contact me before making payment(if you will need lift-gate service)
1 LOT OF (3) BOC EDWARDS HANDHELD DISPLAY MODULE CONTROLLER, P.NO D37209000
THIS(3) ITEM IS WORKING AND VERY GOOD CONDITION
Manual X-Y Table, 1/2" Travel, 5"x6" Top Plate, Low Profile
Precision Manual X-Y Table. 1/2" Travel, 5"x6" Top Plate, Low Profile We require payment within 5 business days. We ship only USPS all Destinations in the world unless prior arangments have been made. Thank you. Buyer is responsible for all shipping and handling costs. Including any applicable insurance, taxes, tariffs or duties. Goods typically ship three to five business days after receipt of payment. Please look over the photos carefully and do not assume anything about the item(s) included in this listing. The photos show what is included in this listing. Unless otherwise stated. If it is not in the photos or in the description it is likely not included. The pictures in this listing are from our stock photos. The item being sold is the same as pictured here. But may not be the specific item in this photo. We strongly encourage ... morebuyers to do their own research before buying. Please. It is very IMPORTANT that you know exactly what you are buying before you commit to the purchase. If you have any questions. PLEASE email us and ask before you make your purchase and we will do our best to answer your questions to the best of our abilities. This item is new(unless stated otherwise) and offered in as is condition. No Guarantee or Warranty is offered or implied. This listing is offered with a 7- Day retu rn privilege. If this unit does not live up to the expectations of the offering. Or is DOA, you may return this item at your expense, unless it was our mistake. Your funds for the purchase will be refunded. You must contact us by the 7th day to arrange for a return authorization and shipping instructions. Otherwise you may not be allowed a return or given a refund. In most cases. All items are required to be returned and shipping cost paid by the buyer unless other arrangements are made between the seller and buyer. The item must be received back to us in the same condition as it was shipped(unless there is a damage claim) before a refund will be given. PLEASE NOTE: All orders must be packaged carefully
Allied High Tech "Dual-Prep" Polishing Plus "TechCut" Sectioning Machines
Two superb machines from Allied High Tech- one grinding/polishing dual head automated machine and another precision sectioning machine- plus lots and lots of sample holders for polishing machine and polishing cloths- at an incredible price! The dual-prep polishing and grinding machine has told-in head to accept a wide range of sample holders which are all included as purchased with the unit. The unit is in excellent condition and rarely beed used in our lab. There is a small crack at the left edge on fiberglass body of the polishing unit as shown in one of the photos which does not affect operation of the unit at all. The precision sectioning unit was purchased'used' but was never been tried in our lab so its operation is not known except the fact that the machine does turn on when power is on and the blade moves. Also included are ... moresome new remain polishing cloths from Buehler(photo shown) to go with the dual-prep and a diamond grinning plate(not shown) Both units are over $20.000 when purchased new from Allied with all the sample holders Here you have a chance to get both at an incredible price of only $5.000 plus shipping and packaging that is buyers responsibility. US sales only- no international bidding please. Both units are extremely heavy and need good packaging and freight. Buyer should arrange his/her own career. Historically our other buyers previously used Crates& Freighters 866-371-3312 who came to pick up and machines from our lab for the buyer and did their own packaging and shipping. Please do not call with any negotiations or lower prices since the units are already listed at the lowest possible price. Please do not request to sell only one unit- we want to sell them together as a set to be used for both sectioning. Grinding, and polishing purposes. Multiple Photos of Two units only with accessories- shown at various angles to get a good idea of the conditions.
South Coast Enterprises Chemical Acid Corrosive Wafer Transport Cabinet
South Coast Enterprises Chemical Acid Corrosive Wafer Transport Cabinet(SCE 1000E) t Holds 5" wafers 6 Drawers 24 racks per drawer 144 wafer racks in cabinet Drawer size 29"x24"x6.5" Rack size 5.5"x5.5"x6" 35.00 SHIPPING IS CRATING FEE ONLY This item requires palletized freight shipping. If you would like us to arrange transport. Please do not pay until we have entered the quoted freight shipping rate in your eBay invoice. We are happy to assist in booking transportation for you and can add that service to your order. Please contact us if you would like a freight shipping quote. Local pickup is encouraged, which has no additional fee. If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located ... moreat: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 33583
H-Square 100mm Manual Aligner, Flat Finder
Auction is for a gently used H-Square Top/Bottom Manual Aligner. Flat Finder Model#FFTB1-4. 100mm Manufacturers notes: The“FFTB” model is the basis for H-Square top/bottom manual. Top/bottom motorized and top/bottom semi-automatic flat finders. They provide an efficient method of aligning primary or secondary wafer flats without removal of wafers from the carrier. They are constructed of precision-machined. Hard clear anodized, 6061 T-6 aluminum alloy for durability and long- term dimensional stability. Aluminum also adds mass to the system. Which improves stability during use. Please see my other auctions for combined shipping. Email any questions. Thanks for looking!
CVC 611: Sputtering System with Load Lock and Wafer Loading Robot
Batch-type production sputtering system with load lock and wafer loading robot. The unit has four cathode positions and current has three cathodes. o 8-inch diameter targets. O 1 Advanced Energy MDX 5kW power supplies. O 1 ENI 3kW RF Power Supply. O 1 CVC Ion Beam Power Supply. Three 100 sccm mass flow controller. Automated throttle valve position controller. Sputter up configuration to minimize debris on the substrate. 2 substrate carousels for 100 mm and 125mm substrates(8-10 substrates per carousel) Pfeiffer 1500 liter/s Mag Lev Turbo pump(TMP1501) with on-board controller(OPS900) The load lock and robot have not been used since seller does not process wafers. Hardware is intact and manually functional. The software for the robot however does not function. System currently used for metals deposition(primarily Mo. But also Cr, Ti and Nb) ... moreSystem is fully functional and can be demonstrated. System has several significant improvements. Carousel Rotation. O Original chain and gear motor replace with a state-of-art Animatics Smart Motor. O Provides true position knowledge that enables stopping the carousel at any position such as the substrate directly above any of the cathode positions. O Homing capability. O User settable carousel speed control from stationary to 400 rpm. Heaters. O New aluminum lid with integrated heaters. O Heater location pockets can be repurposed with sputter down back-side cathodes data acquisition. O Heaters constructed of Watlow serpentine Fire Rods with graphite re-radiation plates. O Control thermocouples embedded in the replaceable graphite re-radiation plates. O Watlow EZ zone controllers(4) and RKC 25A phase angle fire SCRs(4) § Industrial standard breakers and contactors. User interface. O Custom user interface with: § Main operation user screen with I/O status. Gas flow read/write, heater setpoint read/write, and sputtering cathode read. O I/O Operation screen to allowing individual actuation of each digital I/O. O Set-up screen for the heaters. MDX
Fortrend F-6325 Wafer Transfer System
Wafer Transfer System. Model#F-6325 Main System. 3 stage, vertical batch wafer transfer system. 25 to 25 wafer transfer system for 150mm wafer cassettes. Model year 2003. This system is in excellent condition.
svg teflon bowls
Have about 10 or 12 of these SVG teflon photo resist bowls. Good condition some stains on them but very little. would be willing to sell the whole lot if the offer is right. Any questions or pictures missing please feel free to contact me via email.
SVG Silicon Valley Group 90S ASML 99-80308 DC Power Distribution Board Rev. A
SVG Silicon Valley Group 90S ASML 99-80308 DC Power Distribution Board Rev. A This item qualifies for eBay Fast N Free- 1 day handling and free domestic shipping with Fedex Ground to lower 48 USA. AK. HI, PR to pay actual shipping. We care enough to take multiple pictures of our items for sale and all pictures are of the actual item for sale. This item is ready to be shipped upon your purchase. Please email us if you have any questions on this item. All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 14 day not DOA satisfaction guarantee. zm3-r1-s3 svg_gsrx_vers_526(GS 6.6.6(526)
Brookfield CAP 2000+ Viscometer with Cone and Software
Model CAP2000+L. low temperature range 5° C to 75° C) Comes with one cone- see below for viscosity range with this cone. Other cones may be purchased from Brookfield for different viscosity ranges. Spindle Shear Rate Sample Volume Cone Angle Cone Radius Viscosity 5-1000 RPM(Poise) CAP-01 13.3N 67 µl 0.45° 1.511 cm 0.2- 375 Unit is in good condition and fully functional. Comes with software disk but NO cable(standard serial cable) Features: Variable speed instrument ideal for R&D and detailed QC Designed to handle repetitive testing in production environments(one button operation) Automatic cone/gap positioning Easy to set up and clean with choice of built-in temperature control L Series: 5° C to 75° C Extremely small sample size(less than 1 mL) Automated PC control on CAP2000+(Using optional CAPCALC software- ... moreSoftware is INCLUDED- license should be transferrable but we don’t know for sure- check with Brookfield directly if this is an issue for you! Normal 0 false false false false EN-US X-NONE X-NONE
SVG Silicon Valley Group 90S ASML 99-80205 SYS90 I/O Board Rev. F
SVG Silicon Valley Group 90S ASML 99-80205 SYS90 I/O Board Rev. F This item qualifies for eBay Fast N Free- 1 day handling and free domestic shipping with Fedex Ground to lower 48 USA. AK. HI, PR to pay actual shipping. We care enough to take multiple pictures of our items for sale and all pictures are of the actual item for sale. This item is ready to be shipped upon your purchase. Please email us if you have any questions on this item. All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 14 day not DOA satisfaction guarantee. zm3-r1-s3 svg_gsrx_vers_526(GS 6.6.6(526)
SVG Silicon Valley Group 90S ASML Nikon Interface Board Controller 99-80336
SVG Silicon Valley Group 90S ASML Nikon Interface Board Controller 99-80336 This item qualifies for eBay Fast N Free- 1 day handling and free domestic shipping with Fedex Ground to lower 48 USA. AK. HI, PR to pay actual shipping. We care enough to take multiple pictures of our items for sale and all pictures are of the actual item for sale. This item is ready to be shipped upon your purchase. Please email us if you have any questions on this item. All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 14 day not DOA satisfaction guarantee. zm3-r1-s3 svg_gsrx_vers_526(GS 6.6.6(526)
AMAT RadiSys WHC DR 300 0650-A0750 Computer 2A01640AA4A AMAT SemVision cX workin
This AMAT RadiSys computer is used working surplus. The AMAT RadiSys computer came out of a AMAT SemVision cX. The physical condition of the computer is good and clean but. May have scratches from use and handling. RadiSys. Part No: WHC DR 300 0650-A0750 AMAT. Part No: 2A01640AA4A Made in USA Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Contact for Shipping Information Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. ... more90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Fac
SVG Silicon Valley Group 90S ASML Wafer Shuttle Interface Board 99-80269 Rev. C
SVG Silicon Valley Group 90S ASML Wafer Shuttle Interface Board 99-80269 Rev. C This item qualifies for eBay Fast N Free- 1 day handling and free domestic shipping with Fedex Ground to lower 48 USA. AK. HI, PR to pay actual shipping. We care enough to take multiple pictures of our items for sale and all pictures are of the actual item for sale. This item is ready to be shipped upon your purchase. Please email us if you have any questions on this item. All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 14 day not DOA satisfaction guarantee. zm3-r1-s3 svg_gsrx_vers_526(GS 6.6.6(526)
Applied Material PVD magnet 0010-21810
Magnet came from a working tool It is used.
A to Z Electronics 50312403000 Controller AMAT SemVision cX working
This A to Z Electronics 50312403000 controller is used working surplus. The A to Z Electronic controller came out of a AMAT SemVision cX. The physical condition of the controller is good and clean but. May have scratches from use and handling. Assembly Part No: 50312403000 CDM3-i Notable Components: Qty. 1: Sine Power Gen. Unit. Part No: 0090-A4401 Qty. 2: Column PS Unit. Part No: 30612470000 Qty. 1: CDM Monitoring Unit. Part No: 50312480000 Qty. 1: U_COL 8KV Splitter. Part No: 50312420000 Qty. 1: SCINTILLATOR 8KV Splitter. Part No: 50312410000 Made in Israel Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Contact for Shipping Information Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only ... moreitems pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and
SEMITOOL ST-270 DOOR APPROX 11" D SPIN RINSE DRYER SRD, RIGHT HAND, OUTSTANDING
SEMITOOL DOOR APPROX 11" D FOR 270D SPIN RINSE DRYER SRD. RIGHT HAND Check Out: Purchase: Making purchases is easy and simple. To place an order for procurement. Please click the“Buy It Now” button. Follow to the next page for payment instructions. Payment: Click the“Pay Now” button at the top of the E-Bay page to proceed with the checkout and payment process. Payment must be made within 5 days of purchase. Accepted Forms: We accept PayPal. Or you may pay on your credit card via PayPal. When paying via PayPal. Payments must include your shipping address and should be submitted via the E-bay payment process. Sales Tax: For California customers. Sales tax is added and depends upon your location. If you are tax exempt. Please provide a copy of your Tax Exempt Certificate prior to check out. Please do not send payment before receiving a ... morerevised invoice. Shipping: Shipping rates are the responsibility of the customer and are not refundable. Rates include handling. Packaging materials, label scanning and tracking information. Shipping rates are based upon the weight and dimensions of the package and your location. If we have packaging materials for smaller items. We include packaging and handling at no charge. If they are unavailable, we charge our cost. For larger capital equipment, please contact us for crating costs and exact weight prior to shipment as the crate will add weight to the tool set. Insurance: Should you prefer insurance on your item. Please inform us PRIOR to check out so this may be added to the shipping cost. Insurance is not added unless specifically requested by the buyer. Processing Time: Once payment has cleared. We make every effort to ship your item within 1-2 business days. Larger capital equipment which requires crating may require a longer time to build the crate. Freight Shipment: Freight Shipment is the responsibility of the buyer. Although we will do everything possible to assist you with this process. There may be rigging. Crating or palletizing charges depending upon the item
AMAT Applied Materials, Heater, PIP111, Grounded, Roof Top, 0190-40182
AMAT Applied Materials Heater. PIP111, Grounded, Roof Top P/N 0190-40182 Rev A Watlow Ref J Removed AMAT 200 MM chamber. Untested. Ship Fedx ground. So please included your phone# Quoted shipping price is for lower 48 states US customers only. Logan Technologies. LP 254-773-4070
AMAT 50309050000 SemVision cX Scanning Electron Microscope working
This AMAT 50309050000 SemVision cX scanning electron microscope is used working surplus. The scanning microscope includes all components from the base mounting up and the chain drive mechanism adjacent to it. The cables will be disconnected at the connection point on the microscope. If there aren’t connection points at the microscope the cables will be traced to the connector. The physical condition of the scanning electron microscope is good and clean but. May have scratches from use and handling. Assembly Part No: 50309050000 SV300LT Notable Components: Qty. 1: Varian Diode Qty. 1: A to Z Electronics Ltd. Part No: 70412490501 Qty. 1: A to Z Electronics Ltd. Part No: 50312640000 Qty. 1: ICT. Part No: 4916171 Qty. 1: HiTek Power Supply. Part No: G303/S1; AMAT Part No: 50414710200 Made in USA Condition: Used Working. 90 Day Warranty Estimated ... morePacked Shipping Dimensions: Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as
Full Cassette of 25 pcs 8" 200mm Silicon Wafers - O983
YOU ARE BIDDING ON A: Full Cassette of 25 pcs 8" 200mm Silicon Wafers This listing is for a lot of 25 pcs 8" 200mm silicon wafers. These wafers are not polished and may have been handled slightly. Included in this listing is what you see in the listing photos. If it is not in the listing photos it is not included in the listing. This description states only what we know about the item. Do not assume anything else about the item except what is stated. We accept payment through PayPal. We accept other payment methods upon request. Contact us if you need to use a different payment method. Payment is due within 3 calendar days after purchase. In accordance with state law. Sales tax will be charged to all California residents. Resellers email us with your resell permit. EBay ID, and Item ID for all tax waivers and exemptions. We will ... moreautomatically open unpaid item cases 3 days after non-payment. Contact us if you have an issue that is preventing payment. Please allow us up to 24 hours after cleared payment to process your shipment. All our items are available for FREE local pick-up. Please let us know through eBay if you want to schedule a pick-up. Please let us know immediately if a package arrives to you damaged. Feel free to contact us if you'd like combined shipping on one more than one item purchase. We'll see what we can do for you. We ship both domestically in the United States and internationally worldwide. INTERNATIONAL BUYERS: We offer international shipping through eBay's Global Shipping Program. If you do not want to use this service. Contact us and we can quote you a shipping price. Full return policy details can be found here: We appreciate your feedback. We automatically send buyers positive feedback after a shipment has processed. Customer satisfaction is very important to us. If you are dissatisfied with a transaction for any reason before taking any action please contact us through eBay message and we will do everything we can to help you. Our business hours are Monday through F
Nikon NSR-S205C Power Supply Assembly working
This Nikon NSR-S205C power supply assembly is used working surplus. The physical condition of the power supply assembly is good and clean but. May have scratches from use and handling. Assembly Description: Nikon NSR-S205C Power Supply Assembly Z-X4/12-A1903C Notable Components: Qty. 3: Nikon Circuit Board. Type No: 4S013-448 Qty. 2: TDK Power Supply. Type No: RDH24-6RO Qty. 1: TEC IZU Power Supply. Type No: 4S001-107, VDBC0002201 Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: 20”x20”x22” 36lbs Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment ... moreis not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.
Nikon 4K191-928 NSR-S205C Pneumatic Control Box KBB18600-5 working
This Nikon 4K191-928 NSR-S205C pneumatic control box is used working surplus. The physical condition of the control box is good and clean but. May have scratches from use and handling. Assembly Part No: 4K191-928 Z-X4/12-A2902C Notable Components: Qty. 1: Nikon Circuit Board. Type No: 4S008-034-WLAIR Qty. 1: Koganei Pneumatic Manifold. Type No: GF10T3-PS-1W Qty. 1: Koganei Pneumatic Manifold. Type No: GF10T1V-PS-1W Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: 24”x24”x24” 24lbs Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included ... moreor available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax appl
4 INTEGRIS ULTRAPAK H9150 150 mm WAFER CARRIERS with X9150-0406 WAFER CASSETTE
Integris UltraPak H9150 Wafer Carrier with Wafer Cassette X9150-0406 4 Each Used but in good condition What you will receive: 4) Integris Ultrapak H9150 wafer carrier with wafer cassette X9150-0406 Buy with confidence! 100% Positive Feedback Shipping to continental US(48 states) only Check out my other auctions Thank you for looking Powered by The free listing tool. List your items fast and easy and manage your active items.
tweezers EREM 91 SA anti magnetic anti acid SS wafer handling Science
TWEEZERS STAINLESS STEEL TWEEZERS- lightly used with some markings and slight engraving scratches. ANTI MAGNETIC/ ANTI ACID MAKER= EREM 91 SA- Switzerland LENGTH= approximately 125mm TYPE= Wafer handling tweezers- We are not very familiar with tweezers and don't really know what these are for. Scroll down for more photos. Orders can be combined- please wait for invoice. We will gladly combine shipping for for purchases made within 3 days. Payment expected on by the 4th day THANK YOU! Returns within 14 days in original condition. All unpaid items will result in an opened case. THANK YOU! for more great items! PayPal only. Payment is expected within 4 days of auction end. eBay's automatic system will open a case against you if you do not pay within 4 days. PLEASE contact us if you need extended pay time BEFORE you place a bid. Thank ... moreyou! Items typically shipped within 24 hours of receipt of payment. We are happy to combine items for shipping. All items purchased within 3 days will be invoiced together for payment. A handling discount is always given along with honest shipping prices. Please contact us through Ebay Messaging for faster response. Returns within 14 days in UNUSED original condition less 10% restocking fee unless otherwise stated in listing. We will wait until we know you have your item safely to give feedback. Once you leave feedback we will then leave it for you. If you feel your shopping experience with us was anything less than FIVE STARS. Please contact us immediately by using eBay messenger. We appreciate the opportunity to correct any issues you may have before you leave eBay feedback. WE STRIVE FOR FIVE STARS! Thank you! Add to Favorite Sellers. Other Items. Feedback. Contact. EBay Store. Txzj pxzj14317 txzj19 txzj50
Photomask for Silicon Semiconductor Wafer - 1984 - Chip Mask
Very seldom seen photomask for semiconductor wafer manufacture. Chromium on glass. Complete with original protective case. Mask is dated 7-JUN-84. Case measures 6" x 6" x 1 3/4" Mask measures 5" x 5" Active area of mask measures 2 1/2" x 1 3/4" Case has a few scratches and tape residue. Mask seems to be in perfect condition. Case is not sealed. But hasn't been opened(by me) A rare opportunity to acquire a 30 year old photomask.
SVG Thermco 5 position heater controller 604499-01 used, tested working VTR 7000
These are working boards for a Thermco TMX control system. Spares from a closed factory. Works on a AVP or VTR tool
300 mm Silicon Single Wafer Shipper 12" , Entegris H93-120-101. Lot of 8 Qty.
Eight quantity polycarbonate silicon wafer shippers or sample trays in like new condition. Some of these were never used. And a few were very lightly used. No scratches are evident on any of the shippers. For some reason, two of the internal cushions are missing on one of the shippers. Eight-cushion wafer retention system holds the wafer securely during storage and shipping Wafer cushions hold the wafer edge only. Limiting wafer surface contact Dual lever latches provide secure. Reliable closure Stacking features allow shippers to stack safely and efficiently Additional packaging required to protect wafers during shipment Designed for wafer device-side down for simple wafer insertion and removal Clear polycarbonate material allows easy wafer viewing Multiple access areas simplify wafer insertion and removal with a vacuum wand or tweezers ... morePin in socket hinges allow the shipper to be opened horizontally. Providing stability for wafer handling Keyed cushions assemble only one way for simple cushion replacement Smooth surfaces allow efficient cleaning and drying The H93 Series 300mm Single Wafer Shipper is a component of Silicon Delivery™ Systems and Services– Entegris’ unique materials integrity management solution to cost effectively manage silicon from production to consumption. This offering brings together wafer and device handling systems and services into a customized. Coordinated package that works for you. Cleanroom manufactured and packaged Overall size(L × W × H) 336mm × 340mm × 50mm(13.2" × 13.4" × 1.9" Shipper material: polycarbonate Alternative shipper material: STAT-PRO ® 500. For availability Cushion material: polypropylene Shipping to lower 48. US Only
POLYCOLD SYSTEMS T2118-01-290-14 CRYOTIGER COLD END Brooks Instruments
POLYCOLD SYSTEMS T2118-01-290-14 CRYOTIGER COLD END Brooks Instruments. This item qualifies for eBay Fast N Free- 1 day handling and free domestic shipping with USPS Priority Mail. We care enough to take multiple pictures of our items for sale and all pictures are of the actual item for sale. This item is ready to be shipped upon your purchase. Please email us if you have any questions on this item. All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 14 day not DOA satisfaction guarantee. CMB_gsrx_vers_526(GS 6.6.6(526)
High Purity Valve Air Operated Teflon 1/4 inch Fluoroware 202-22 Furon
High Purity Valve Air Operated Teflon 1/4 inch Fluoroware 202-22 Furon These appear to be new. Have 2 of them available from same plastic inventory bag. This item qualifies for eBay Fast N Free- 1 day handling and free domestic shipping with USPS Priority Mail. We care enough to take multiple pictures of our items for sale and all pictures are of the actual item for sale. This item is ready to be shipped upon your purchase. Please email us if you have any questions on this item. All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 14 day not DOA satisfaction guarantee. CMB_gsrx_vers_526(GS 6.6.6(526)
MKS Spectra High Pressure HPQ2 Residual Gas Analyzer RGA Helium Leak Detector 2
This is a completely rebuilt MKS/Spectra HPQ2 high pressure quadrupole residual gas analyzer system. Though the vacuum fittings are slightly different from those on a standard HPQ2S. The controller is configured with HPQ2S compensation algorithms. HPQ2, Process Eye Professional v5.72, EasyView v5.72, Manuals for Hardware and Software, Universal Power Adapter, 10-foot RS-232 cable, Electro-Pneumatic Valve, CF 2.75 to KF40 Adapter, Of course. The HPQ2 can be interfaced to a chamber directly without using the included valve, though this protects the HPQ2 from contamination and excess pressure. Replacement of internal electro-mechanical components, Installation of new ion source, Installation of new tungsten filaments, Tuning of RF network, Upgrade to latest firmware, New RS-232 cable, New universal power adapter, The HPQ2 and HPQ2S represent ... morethe latest in high pressure. Pump free, process RGA technology, with performance consistent with traditional RGA instrumentation. Although designed to meet the rigorous requirements of semiconductor processing, the HPQ2 and HPQ2S are ideal for other process monitoring applications because they do not require a support pumping package. The resulting system is less complex, with reduced installation requirements, offering a higher level of reliability at a substantially lower cost. In addition, the HPQ2S uses special gas-specific algorithms to compensate for sensitivity variations resulting from ion-molecule interactions that occur at higher pressures. These algorithms address the specific characteristics of species including water, oxygen, nitrogen, methane, helium, and hydrogen in argon. Compact quadrupole process monitors offer high pressure operation without the need for differential pumping, Ideal for a range of vacuum process monitoring applications including the detection of contaminants and outgassing, Stable. Rugged, reliable design, Optimized for very high intrinsic sensitivity, With their ability to operate at the pressures encountered in the degas. Pre-clean, buffer,
Shin Etsu FOUP 300 EX for 300 mm wafers
Up for sale is up to 150 x FOUP Shin Etsu EX 300 for 300 mm wafers. All in good condition. But items are used. sold by Units 336.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3E336-143d282ea10-0x103-
SEMITOOL P325 CONTROLLER, SPIN RINSER DRYER WITH IMC MicroBOXER UPGRADE
Semitool P325 Spin Rinser Dryer Controller Single Rinse. 2 Stage Drying Includes MicroBOXER Model 2412PS-12W-B30 Upgrade 115V 50/60Hz 5/6Watts Manufactured by Semitool in Kalispell. Montana Check Out: Purchase: Making purchases is easy and simple. To place an order for procurement. Please click the“Buy It Now” button. Follow to the next page for payment instructions. Payment: Click the“Pay Now” button at the top of the E-Bay page to proceed with the checkout and payment process. Payment must be made within 5 days of purchase. Accepted Forms: We accept PayPal. Or you may pay on your credit card via PayPal. When paying via PayPal. Payments must include your shipping address and should be submitted via the E-bay payment process. Sales Tax: For California customers. Sales tax is added and depends upon your location. If you are tax exempt. Please ... moreprovide a copy of your Tax Exempt Certificate prior to check out. Please do not send payment before receiving a revised invoice. Shipping: Shipping rates are the responsibility of the customer and are not refundable. Rates include handling. Packaging materials, label scanning and tracking information. Shipping rates are based upon the weight and dimensions of the package and your location. If we have packaging materials for smaller items. We include packaging and handling at no charge. If they are unavailable, we charge our cost. For larger capital equipment, please contact us for crating costs and exact weight prior to shipment as the crate will add weight to the tool set. Insurance: Should you prefer insurance on your item. Please inform us PRIOR to check out so this may be added to the shipping cost. Insurance is not added unless specifically requested by the buyer. Processing Time: Once payment has cleared. We make every effort to ship your item within 1-2 business days. Larger capital equipment which requires crating may require a longer time to build the crate. Freight Shipment: Freight Shipment is the responsibility of the buyer. Although we will do everythi
HITACHI 570S SEM
Was used as late as 2005 and from what I can tell no parts were stripped of any main parts. The sample area is very clean and all the adjustment knobs and mircrometes work fine. Panel is very clean. This system has been in AC sincre pulled off line. Ships in two sections. Two pallets or one large crate. I have the Manual.
Nikon WL3PRE2 Pre Aligner 4S007-692-1 30-13 Nikon NSR-S204B Wafer Loader working
This Nikon WL3PRE2 pre aligner 4S007-692-1 is used working surplus. The physical condition of the pre aligner is good and clean. But may have minor scratches from use and handling. It was removed from a Nikon NSR-S204B Wafer Loader. Part No: 4S007-692-1 Model No: WL3PRE2 30-13 Removed from: Nikon NSR-S204B Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Contact for Shipping Information Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily ... morethe one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items wi
Nikon WL3SEN4 Circuit Board 4S018-567-A Nikon NSR-S204B Wafer Loader working
This Nikon WL3SEN4 circuit board 4S018-567-A is used working surplus. The physical condition of the circuit board is good and clean. It was removed from a Nikon NSR-S204B Wafer Loader. Part No: 4S018-567-A Model No: WL3SEN4 Removed from: Nikon NSR-S204B Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: 22”x 16”x 10” 5-6 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See ... moreDetails Below) Lister AZ2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely
Nikon WL3M0T5 Circuit Board 4S007-953-A Nikon NSR-S204B Wafer Loader working
This Nikon WL3M0T5 circuit board 4S007-953-A is used working surplus. The physical condition of the circuit board is good and clean. It was removed from a Nikon NSR-S204B Wafer Loader. Part No: 4S007-953-A Model No: WL3M0T5 Removed from: Nikon NSR-S204B Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: 22”x 16”x 10” 5-6 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See ... moreDetails Below) Lister AZ2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely
Asahi Linear Pulsemotor Controller D2810 Circuit Board 4S014-160A Nikon NSR-S204
This Asahi linear pulsemotor controller D2810 circuit board 4S014-160A is used working surplus. The physical condition of the circuit board is good and clean. It was removed from a Nikon NSR-S204B Wafer Loader. Part No: 4S014-160A Model No: Linear Pulsemotor Controller D2810 Removed from: Nikon NSR-S204B Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: 22”x 16”x 10” 5-6 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one ... morethat will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship fr
AMAT 0010-31663 Rev. 02 Endura Pneumatic Control Box AMAT Endura 300mm working
This AMAT 0010-31663 Rev. 02 Endura pneumatic control box is used working surplus. The physical condition of the pneumatic control box is good and clean. But may have scratches from use and handling. It was removed from an AMAT Endura 300mm. Assembly Description: Brand: AMAT Model: Endura Part No: 0010-31663 Rev. 02 Type No: 300582-1 0409 Notable Components: Qty. 4: SMC Pneumatic Manifold. Part No: VV5Q11-14-DAK00811 Qty. 1: MKS Desica Backplane Circuit Board Assy PN: AS00296-02 Rev. 01 Type No: 0190-27709 Rev. 03 Qty. 2: MKS CDN396R Circuit Board Assy PN: AS01396-6-5 Type No: 0190-27708 Rev. 03 Part No: PN01396-01 Rev. 02 PB01396-02 Rev. 01 Qty. 1: MKS Sys/Dryer Interlock Circuit Board Assy PN: AS00266-05 Rev. 01 Type No: 0090-05098 Rev. 04 Part No: PN01397-01 Rev. 02 PB00266-02 Rev. 01 AS01397-01 Qty. 1: MKS Meg/Brush Interlock Circuit ... moreBoard Assy PN: AS00266-05 Rev. 01 Type No: 0090-05099 Rev. 03 Part No: PN01397-02 Rev. 02 PB00266-02 Rev. 01 AS01397-02 Qty. 2: MKS CDN391R Circuit Board Assy PN: AS01391-21-1 Type No: 0190-27707 Rev. 3 Part No: PN01391-01 Rev. 03 PB01391-02 Rev. 01 Qty. 2: MKS CDN391R Circuit Board Assy PN: AS01391-21-1 Type No: 0190-27707 Rev. 04 Part No: PN01391-01 Rev. 03 PB01391-02 Rev. 01 Made in USA Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Requires Freight Shipping. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Del
Nikon SPA325Y Amplifier 4S587-130-1 Nikon NSR-204B working
This Nikon SPA325Y amplifier 4S587-130-1 is used working surplus. The physical condition of this amplifier is good and clean. But may have some minor scratches from use and handling. It was removed from a Nikon NSR-204B. Part No: 4S587-130-1 Model No: SPA325Y Removed from: Nikon NSR-204B Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Contact for Shipping Information Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will ... morebe sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Ar
Yaskawa CLSR-1B-N2CD-1 Amplifier 4S064-209-3 Nikon NSR-204B working
This Yaskawa CLSR-1B-N2CD-1 amplifier 4S064-209-3 is used working surplus. The physical condition of this amplifier is good and clean. But may have some minor scratches from use and handling. It was removed from a Nikon NSR-204B. Part No: 4S064-209-3 Model No: CLSR-1B-N2CD-1 Removed from: Nikon NSR-204B Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Contact for Shipping Information Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily ... morethe one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will
Yaskawa CLSR-1B-N2CE-1 Amplifier 4S064-210-3 Nikon NSR-204B working
This Yaskawa CLSR-1B-N2CE-1 amplifier 4S064-210-3 is used working surplus. The physical condition of this amplifier is good and clean. But may have some minor scratches from use and handling. It was removed from a Nikon NSR-204B. Part No: 4S064-210-3 Model No: CLSR-1B-N2CE-1 Removed from: Nikon NSR-204B Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Contact for Shipping Information Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily ... morethe one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will
Yaskawa CLSR-42-N2CB Amplifier 4S587-156 Nikon NSR-204B working
This Yaskawa CLSR-42-N2CB amplifier 4S587-156 is used working surplus. The physical condition of this amplifier is good and clean. But may have some minor scratches from use and handling. it was removed from a Nikon NSR-204B. Part No: 4S587-156 Model No: CLSR-42-N2CB Removed from: Nikon NSR-204B Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Contact for Shipping Information Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one ... morethat will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship fro
Yaskawa CLSR-64-N2CD Amplifier 4SW064-211-2 Nikon NSR-204B working
This Yaskawa CLSR-64-N2CD amplifier 4SW064-211-2 is used working surplus. The physical condition of this amplifier is good and clean. But may have some minor scratches from use and handling. It was removed from a Nikon NSR-204B. Part No: 4SW064-211-2 Model No: CLSR-64-N2CD Removed from: Nikon NSR-204B Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Contact for Shipping Information Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily ... morethe one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will sh
Nikon KXA57176 Pneumatic Control Box T-KXA5760609 4S288-076E 332-56-10-F Nikon
This Nikon KXA57176 Pneumatic Control Box T-KXA5760609 is used working surplus. The physical condition of the pneumatic control box is good and clean. But may have minor scratches from use and handling. It was removed from a Nikon NSR-S204B. Part No: T-KXA5760609 Model No: KXA57176 Nikon. Part No: 4S288-076E Nikon. Model No: PRS-UNIT SVC. Part No: 332-56-10-F Removed from: Nikon NSR-S204B Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: 20”x 18”x 16” 24 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. ... moreFor multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in
TEL ECC2 Controller MC Rack 3D80-00766-V2 AMAT Endura working
This TEL ECC2 controller MC rack 3D80-00766-V2 is used working surplus. The physical condition of the controller rack is good and clean. But may have minor scratches from use and handling. It was removed from an AMAT Endura. Part No: 3D80-00766-V2 Removed from: AMAT Endura Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: 24”x 20”x 12” 14 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See ... moreDetails Below) Lister AZ2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be secu
Semilab WT-1000 lifetime tester for wafers
Product will be shipped in the first picture. No test equipment. Shipping Method: UPS S&H lm3vhp.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bjw%60%3Elm3vhp-143df847b42-0xec-
Applied Materials EFEM with Brooks Robot and 3 TDK Load Ports
Applied Materials EFEM with Brooks Robot and 3 TDK Load Ports. Comes off an AMAT Quantum X Implanter 12" Excellent Low Use Condition 6-0002-1072-SP Brooks Robot- DBM 2406-V2 BX I I p a 300 Berkeley Process Control Inc. Pre-Aligner 100-00-840-02 Berkeley Process Control Inc. Controller BX2 8 axis 6 Drives stage system that moves robot back and forth QTY: 3 TAS 300 Type F1 TDK Load Port*Very Important* Buyer is responsible for rigging and removing from fab. This should be very straight-forward. Simple rigging job. We will de-couple from tool safely. We can provide the names of 2 rigging companies who have moved many tools from this fab. We will be onsite to ensure a smooth process. Please feel free to reach out with any questions. Thank you!
(jn 0) 4 5/8" Gold Probing Prober Station Wafer Chuck
This wafer chuck is in good used condition with some definite marks and scratches. This unit is mounted to a 4"x4" base and has multiple cut wires and hoses coming from it. I have no way to test the unit so it is being sold as is. Thank you for visiting our on-line store! If you have any questions. Just send us an email through eBay messaging. We strive to answer all questions as soon as possible. NOTE ON SHIPPING: Shipping is free for all U.S. customers living in the lower 48 contiguous states. All items will be shipped within 1 business day of completed payment. If you live in Alaska. Hawaii, or Puerto Rico, your item will be shipped via USPS Parcel Select and can take up to 9 days to arrive. If you would like the item shipped USPS Priority Mail. Please send us an email through eBay for additional shipping charges. NOTE FOR INTERNATIONAL ... moreCUSTOMERS: Please send us an email through eBay for a quote on shipping. All customs fees. Duties, import taxes, and related charges are solely your responsibility to pay. We have no ability to estimate those cost so please contact your local post office prior to bidding to understand your financial responsibilities upon arrival. The number code in parenthesis at the beginning of the title is an internal company inventory code. It is in no way affiliated with the actual title. Please do not hesitate to contact us with any questions or comments. Prompt. Friendly, and accurate service is our main priority.
SVG 99-45107-02 Control Module working
` This SVG 99-45107-02 control module is used working surplus. The module is missing components(see pictures) The physical condition of the control module is good and clean. But may have some minor scratches from use and handling. Part No: 99-45107-02 REV. C Model No: Control Module Series: 90 SVG. Part No: 99-80270-01 REV. C SVG. Model No: Sensor Multiplexor SVG. Part No: 99-80271-01 REV. C SVG. Model No: Sensor Multiplexor Mother Board SVG. Part No: 80197C 1-11 SVG. Model No: Power Distribution PCB Made in United States Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not ... morepictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Trans
Nikon RDR0O267D NSR-S204B Wafer Loader Robot Nikon NSR-S204B working
This Nikon RDR0O267D NSR-S204B wafer loader robot is used working surplus. The physical condition of the wafer loader robot is good and clean. But may have a few scratches from use and handling. It was removed from a Nikon NSR-S204B wafer loader. Assembly Description: Robot Assembly Part No: RDR0O267D System: Nikon NSR-S204B Wafer Loader Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Contact for Shipping Information. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number ... moreis not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in
Nikon B06106 NSR-S204B Wafer Defect Detector Nikon NSR-S204B Wafer Loader works
This Nikon B06106 NSR-S204B wafer defect detector is used working surplus. The physical condition of the wafer defect detector is good and clean. But may have a few scratches from use and handling. It was removed from a Nikon NSR-S204B wafer loader. Assy. Description: Wafer Defect Detector Assy. Part No: B06106 System: Nikon NSR-S204B Wafer Loader Notable Components: Nikon. Circuit Board, Part No: 4S007-598 Nikon. Circuit Board, Part No: 4S007-580 Nikon. Circuit Board, Part No: 4S007-609 Nikon. Circuit Board, Part No: 4S003-028 Yaskawa Electronics Corp. Minertia Motor Series: QM Series Type No: UGQMEM-O1SNQ22 Part No: UTOPI-020MX Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Contact for Shipping Information. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE ... moreNOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee)
Ultratech Corporation PC602 Photomask Wafer Cleaner, Functional Vacuum Hold
Ultratech Corporation PC602 Photomask Wafer Cleaner Removed from service in working condition. Unit has been powered up and functions without issue. Includes manual. Microprocessor-controlled. High pressure water jet for effective rinse, vacuum hold chucks for photomasks and wafers, rapid clean and dry cycles*Freight Shipping Available. Free Palatalization* Same Day Handling Time! Check out my! Be sure to add me to your! Buyer Satisfaction We do our best to present accurate. Detailed information about every item listed. Our staff are not product experts in every asset category we may list; therefore CISL Company reserves the right to cancel any transaction, even after auction close, if the item is found to be not as described. Our goal is 100% customer satisfaction. If you have any questions, we ask that you please contact us via eBay messaging ... moresystem before placing your bid so that we can best ensure your satisfaction. We look forward to receiving your positive feedback and 5 star ratings so that we may continue to provide our services to make state, federal, and commercial surplus available at low cost on eBay. If for any reason you are not 100% satisfied with your transaction, please contact us immediately so that we may have an opportunity to resolve the matter to your satisfaction before leaving feedback. Payment For Local Pick Up Items- CISL Company accepts PayPal. We also accept other payment methods. Please refer to the invoice sent after auction close for details regarding exact payment method accepted for listing. PayPal may not apply for vehicle sales. For Items to be Shipped- Payment is accepted through PayPal. Only items mentioned in listing are included. Accessories are only included when listed. Payment is due within four days from close of listing. Please contact if you need different arrangements. If UPS is a shipping option. UPS Ground will select either UPS or FedEx as the shipping courier. Orders over $50 may require signature at time of delivery. For freight shipments. We can prov
Axecelis 697221 Power Supply GEMINI Axcelis Fusion PS3 Process Chamber working
` This Axecelis 697221 power supply GEMINI is used working surplus. The physical condition of the power supply is good and clean. But may have minor scratches from use and handling. It was removed from a Axcelis Fusion PS3 Process Chamber. Part No: 697221 Model No: GEMINI Removed from: Axcelis Fusion PS3 Made in United States Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Contact for Shipping Information Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily ... morethe one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All it
Yaskawa XV-DL1600 W30 Robot Controller 4S014-166-1 4S014-165 Circuit Board Nikon
` This Yaskawa XV-DL1600 W30 robot controller 4S014-166-1 4S014-165 circuit board is used working surplus. The physical condition of this circuit board is good and clean. It was removed from a Circuit Board Nikon NSR-S204B Wafer Loader. Yaskawa. Part No: XU-DL1600 REV.A Yaskawa. Model No: 30C087BC Yaskawa. Part No: XU-DL1600 W30 REV.A 4S014-166-1 4S014-165 Removed from: Nikon NSR-S204B Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Contact for Shipping Information Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available ... morefor sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purcha
Nikon NSR-S204B RDC0O267D Wafer Loader Robot Controller Nikon NSR-S204B working
This Nikon NSR-S204B RDC0O267D wafer loader robot controller is used working surplus. The physical condition of the robot controller is good and clean. But may have scratches from use and handling. It was removed from a Nikon NSR-S204B wafer loader. Assembly Part No: RDC0O267D Model: Robot Controller RRW-07 V4. 2 System: Nikon NSR-S204B Wafer Loader Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Contact for Shipping Information. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured ... moreserial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all p
Santa Clara Plastics SCP 8500 Turbo, 200mm wet bench
SCP(Santa Clara Plastics) Model Turbo 8500 wet bench, was used in an R/D application, it was in working condition at the time of decommission. Really nice and clean, lower usage excellent condition. 200mm, sold in as-is condition:RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28e013%3F%3E%3A-143e1a21062-0xfd-
JST Hot Nitrogen Dryer, 4 200mm cassettes at a time or whatever fits in chamber
JST Hot N2 Dryer System in near perfect condition; used for proof of concept and R&D in a Solar plant. Very clean. Powers up without any issues. Fully operational at the time of decommission, powered up at our facility as well for demonstrations. These units are really nice, sold in as-is condition.
Applied Materials P5000 Oxide Etcher, 3 chamber, ESC, 200MM, Complete
Applied Materials P5000 Oxide Etch System Mark II mainframe. 21 slot VME 8 Slot Storage elevator 200mm wafer size 3 process modules/ chambers Unibody chambers. Mxp ESC(electro-static-chuck) no physical contact WPS installed(wafer-position-sensor) Complete gas panel Complete support modules: Vacuum pumps. X4 Heat Exchangers. X2 AC panel. X1 Full set of umbilicals Monitor and CRT base with light pen Mini controller OEM 12 RF generators. X3 The system is ready to install and test. Complete, high probability of working as is, or perfect for a reconfiguration or full refurbishment project. This system was fully operational at the time of decommission, sold in as-is condition lm3vhp.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bjw%60%3Elm3vhp-143e1a20f58-0xd5-
Semitool SRD ST-270/ 470 Spin Rinse Dryer 6"/150mm Stand Alone/Table Top & Rotor
Semitool ST-470 Spin Rinser Dryer Semitool SRD ST-270/ 470 Spin Rinse Dryer 6"150mm Stand Alone/Table Top. Rotor Can be used as Stand Alone or Table Top With 6" 5" 4" or smaller wafers 150mm. 125mm, 100mm or smaller wafers, round or square, depending on rotor configuration Removed from local fab in operational condition Includes: Existing Stand Rotor Roll Away front polypropylene. Steel reinforced stand PLUS existing stand Can be used as table top system Controller(integral) Designed to accommodate resistivity monitoring system. Includes Pea Trap, etc. Resistivity probe/cell may be included(confirmation required prior to ship) Designed to accommodate 6"150mm wafer cassette or smaller Check Out: Purchase: Making purchases is easy and simple. To place an order for procurement. Please click the“Buy It Now” button. Follow ... moreto the next page for payment instructions. Payment: Click the“Pay Now” button at the top of the E-Bay page to proceed with the checkout and payment process. Payment must be made within 5 days of purchase. Accepted Forms: We accept PayPal. Or you may pay on your credit card via PayPal. When paying via PayPal. Payments must include your shipping address and should be submitted via the E-bay payment process. Sales Tax: For California customers. Sales tax is added and depends upon your location. If you are tax exempt. Please provide a copy of your Tax Exempt Certificate prior to check out. Please do not send payment before receiving a revised invoice. Shipping: Shipping rates are the responsibility of the customer and are not refundable. Rates include handling. Packaging materials, label scanning and tracking information. Shipping rates are based upon the weight and dimensions of the package and your location. If we have packaging materials for smaller items. We include packaging and handling at no charge. If they are unavailable, we charge our cost. For larger capital equipment, please contact us for crating costs and exact weight prior to shipment as the crate will add weight
Nikon NSR-S204B Wafer Loader Robot Elevator Nikon NSR-S204B Wafer Loader working
This Nikon NSR-S204B wafer loader robot elevator is used working surplus. The physical condition of the wafer loader robot elevator is good and clean. But may have a few scratches from use and handling. It was removed from a Nikon NSR-S204B wafer loader. Assy. Description: Robot Elevator System: Nikon NSR-S204B Wafer Loader Notable Components: Yaskawa Electric Corp. Minertia Motor Series: QM Series Type No: UGQMEM-O2MAK0E Part No: UTOPI-0205E Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Contact for Shipping Information. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the ... moresale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purc
EBARA TURBO MOLECULAR PUMP CONTROLLER 306W-TF
This listing is for an Ebara Turbo Molecular Pump Controller ET306W-TF Tuning Free Pump Controller Used/Working condition 100/200V
AD TEC AXR-2000 3 RF Plasma Generator 27-360919-00 15-302019-00 10222301 working
` This AD TEC AXR-2000 3 RF plasma generator 27-360919-00 is used working surplus. It was removed from a working system. The physical condition of the plasma generator is good and clean, but may have minor scratches from use and handling. Novellus. Part No: 27-360919-00 Model No: AXR-2000 3 15-302019-00 REV. A 10222301 Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily ... morethe one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All it
1 LOT (25) MASSFLOW CONTROLLER AND (2) MKS BARATRON , SEE DETAIL
3) UNIT 1661. 100 SCCM, N2(1) UNIT 1661. 1L, O2(1) UNIT 1660. 1L, AR(1) UNIT 1200A. 100 CC, CHF3(1) UNIT 1100A. 1L, N2(1) UNIT 1100N. 10 SLM, N2(2) UNIT 8160. 50 SLM, N2(1) UNIT UFM-8100. 30 SLM, N2(1) STEC SEC-4400 MC-UC. 20 SCCM, AR(1) STEC SEC-4400 RO. 20 SCCM, O2(1) STEC SEC-4400 RO. 200 SCCM, O2(1) STEC PV-2203 MC(1) TYLAN FC-2900 V. 400 SCCM, CF4(2) TYLAN FC-2960 MEP5. 2 SLPM AND 4 SLPM, N2(3) TYLAN FC- 261. 10 SLPM, N2O(1) TYLAN FC- 261. 20 SLPM, O2(1) TYLAN FC- 260 V. 100 SCCM, SF6(2) TYLAN FC- 260. 50 SCCM AND 500 SCCM, N2(1) NEW MKS BARATRON MODEL# 122 A- 11060(10 TORR) 1) MKS BARATRON. MODEL# 631A01TBEH, 1 TORR) ALL ITEM IS VERY CLEAN AND NO CONTAMINATION 2p5ddv.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B26rk%3E2p5ddv-143e280acd9-0xe3-
CTI 8F CRYOPUMP P/N 8107040 , S/N 34C9119731
THIS IS A USED 8F CRYOPUMP. GOOD CONDITIONS
Logitech PM2A polisher and lapper
Very nice polisher and lapping machine by Logitech Scotland The plastic bottle for dispensing polishing liquids is missing. However this machine is fully functional. LP 50 polishing jig is an option Please call for discussion 801 661 2133 Posted with
Proteus Industries Inc. 100SST Fluid Flow Switch s/n F225589
This is a used Proteus Industries Inc. 100SST Fluid Flow Switch s/n F225589. Super Fast Shipping!
Advanced EPI (now Veeco) Platen Outgas System -up to 12 ea. 230mm platens/run
EPI Epi Reactor Platen Outgas System Chamber will hold up to 12 each 230mm platens per run. Components include: Varian Turbo V-250 Nitrogen Vent and Relief Regulator Granville-Phillips 350 Ionization Gauge Controller Austin Scientific 320 Cryopump Monitor(2) DC Power Modules. 2000w each. Manual This system is in excellent. As-new condition, and carries our standard 4-month, no-nonsense warranty. Please contact us for additional information. Photos, or a copy of the documentation. This system is guaranteed to perform up to the manufacturer's specifications and carries our 4-month. No-nonsense warranty. Normal 0 For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for over 35 years. You can purchase with complete confidence that the ... moreequipment will be provided in excellent cosmetic and perfect operational condition. Our 50,000 square foot facility is located in the metropolitan NYC area. Normal 0 Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*a4%601gc%3E-143e55ab66c-0xdc-
3081 Solid State CleanStream 1100-SCP-2-HT Bath Temperature Control System
You are Buying a Solid State Cooling SystemsCleanStream 1100-SCP-2-HT Bath Temperature Control System Used. Good Condition. Sold As is. Solid State Cooling Systems Bath Temperature Control System Mod: CleanStream 1100-SCP-2-HT 3082 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge for freight will ... morebe determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
KLA Tencor 11301397662000 FEM Interface 11020616021260 11020616021270 1102061608
` This KLA Tencor 11301397662000 FEM interface is untested sold as-is surplus. The physical condition of the interface is good and clean. But may have some minor scratches from use and handling. KLA Part No: 11301397662000 KLA Part No: 11020616021260 KLA Part No: 11020616021270 KLA Part No: 11020616083850 KLA Part No: 11301397562000 Part No: 0004428000 Condition: Untested. Sold As-Is Estimated Packed Shipping Dimensions: Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number ... moreis not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TE
3080 Semitool WST506MG Spray Solvent Tool Chamber
You are Buying a Semitool WST506MG Spray Solvent Tool Chamber Used. Excellent Condition. Sold As Is. Semitool Spray Solvent Tool Chamber From: Semitool WST506MG Spray Solvent Tool 3080 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge for freight will be determinate by the destination upon the ending ... moreof the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
Matrox G4+MDH4A32G REV 405 MT02080 with 60 day warranty
Matrox G4+MDH4A32G REV 405 MT02080 with 60 day warranty Posted with
FORTREND ENGINEERING F-8025 WATER TRANSFER SYSTEM
FORTREND ENGINEERING F-8025 WATER TRANSFER SYSTEM Make: Fortrend, Model: F-8025, Volts: 110/120, Air Presssure: 50 psi, S/N: 695725, Includes all/only items pictured. Welcome to our eBay store! To make your purchase as simple and easy as possible. Please refer to the store policies listed below. After winning an item in auction or completing a Buy It Now purchase. You have two check-out options: Check-Out Now! Click the at the top of this listing to immediately proceed with our checkout and payment process. Please note that the Pay Now button is ONLY available after winning an auction or completing a Buy It Now purchase. Check-Out Later If you do not choose to Check-Out now. You will be notified by eBay via e-mail that you are the winning bidder. There is no need to contact us to arrange payment. We will automatically send you an e-mail within ... morethree hours of an auction closing. Our message will contain a link to our simple and secure checkout system, where you will be able to quickly and conveniently pay for your purchase. You may also continue purchasing additional items from our auctions or eBay store. You have[5] days from the end of the first purchase to add additional items to your order. Make sure all of your auctions have ended prior to checking out. All of your purchases will be consolidated into one checkout! Payment We accept the following forms of payment. PayPal. Sales Tax For shipments to Arizona addresses. We are required by State law to collect 7.8% Sales Tax. Shipping All items under 100 lbs will be shipped either USPS or Fedex. Any items over 100 lbs will be shipped freight through a freight carrier of our choice. Customer Service If you have any questions before or after your purchase please use the ask a question button.
FORTREND ENGINEERING F-8225S WATER TRANSFER SYSTEM
FORTREND ENGINEERING F-8225S WATER TRANSFER SYSTEM Make: Fortrend, Model: F-8225S, Volts: 120, Air Presssure: 50 psi, Includes all/only items pictured. Welcome to our eBay store! To make your purchase as simple and easy as possible. Please refer to the store policies listed below. After winning an item in auction or completing a Buy It Now purchase. You have two check-out options: Check-Out Now! Click the at the top of this listing to immediately proceed with our checkout and payment process. Please note that the Pay Now button is ONLY available after winning an auction or completing a Buy It Now purchase. Check-Out Later If you do not choose to Check-Out now. You will be notified by eBay via e-mail that you are the winning bidder. There is no need to contact us to arrange payment. We will automatically send you an e-mail within three hours ... moreof an auction closing. Our message will contain a link to our simple and secure checkout system, where you will be able to quickly and conveniently pay for your purchase. You may also continue purchasing additional items from our auctions or eBay store. You have[5] days from the end of the first purchase to add additional items to your order. Make sure all of your auctions have ended prior to checking out. All of your purchases will be consolidated into one checkout! Payment We accept the following forms of payment. PayPal. Sales Tax For shipments to Arizona addresses. We are required by State law to collect 7.8% Sales Tax. Shipping All items under 100 lbs will be shipped either USPS or Fedex. Any items over 100 lbs will be shipped freight through a freight carrier of our choice. Customer Service If you have any questions before or after your purchase please use the ask a question button.
MKS PN00721-01 Rev. E1 TeNTA cPCI Circuit Board AMAT Centura 300mm working
This MKS PN00721-01 Rev. E1 TeNTA cPCI circuit board is used working surplus. The physical condition of the circuit board is good and clean. But may have some minor scratches from use and handling. It was removed from an AMAT Centura 300mm. Assembly Part No: PN00721-01 Rev. E1 Model: TeNTA Tech. cPCI Pwrs: 0721 AMAT Part No: 0190-17081 Rev. 002 Made in Israel Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: 16”x13”x10” 3-4 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured ... moreserial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all pu
SBS CPCI-100A-FP cPCI-100 Circuit Board AMAT Centura 300mm working 0190-17950
This SBS CPCI-100A-FP cPCI-100 circuit board is used working surplus. The physical condition of the circuit board is good and clean. But may have some minor scratches from use and handling. It was removed from an AMAT Centura 300mm. Assembly Part No: CPCI-100A-FP Model: cPCI-100-FP Fab.No: 0390-1240 A1 HW. No: 89006650 Rev. A AMAT Part No: 0190-17950 Rev. 001 Made in USA Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: 16”x13”x10” 3-4 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The ... morepictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax appli
Rorze RE109-223-002, I/O Microstep Sensor Mate & 12" Linear Actuator
Rorze RE109-223-002. I/O Microstep Sensor Mate& 12" Linear Actuator Please refer closely to all the photos before purchasing. Used. Unit is marked as Rorze RE109-223-002 2000/09. 12" Screw driven linear actuator assembly. 5 Turns per inch. This is a very unique piece of equipment. It was removed from a Semix wafer processing unit and consists of the following parts: Vexta Step Motor- Model no PH264M-31-C25 4 VDC/ 1.1A/ 2ph.9º Step. Rorze 2P MicroStep Driver- Model no RD-323MS. Rorze I/O Master- Model no RC-204A. Rorze Sensor Mate- RS-114A. Pulled from working decommissioned equipment that had been in storage for some time. We are offering a 14-Day not DOA Guarantee. Less shipping. Thanks for looking- we appreciate your business! Please check out on eBay. Please Read: Other than what's displayed in the images accompanying ... morethis listing or mentioned in the description above. There are no manuals, instructions, additional parts or accessories. Shipping Information: Bidders outside the Continental U.S. including bidders in Alaska. Hawaii, Puerto Rico& our friends in Canada) MUST contact us to determine(non-freight) shiping costs! Any shipping prices or shipping calculators displayed in our ads are for continental U.S. addresses only. Alaska. Hawaii and all non-U.S. shipments will be sent through the U.S. Postal Service ONLY. If you live OR are requiring shipment outside the continental U.S. it is important to contact us for an individual shipping estimate. Be sure to do this before you purchase. FREIGHT SHIPMENTS: ARE THE RESPONSIBILITY OF THE BUYER TO ARRANGE AND PAY FOR OUTSIDE OF SALE. See item description and the Shipping FAQs link for details on our freight shipping policy. Buyer pays for shipping& insurance. And any additional packing/palleting/crating fees. The amount we charge for shipping. Whether given as a fixed rate or calculated after closing for the individual buyer, INCLUDES our costs in materials and packaging. We are a small company and make every effort to move our inve
Rorze RR304L Wafer Transfer Robot, Linear Slide, Controller RR304
Rorze RR304L Wafer Transfer Robot. Linear Slide, Controller RR304 Please refer closely to all the photos before purchasing. Used. Wafer handling robot arm by Rorze: Model no: RR304L90-523-001, S/N: RK00013, Mfg date: 06/00, Controller. Curr-0272-0. Controller model RR304 2x Rorze 5P Pulse motor driver RD353A, Rorze I/O Master RC 204A, Robot Arm is mounted on a belt driven slide table. 28" Travel THK slide rail(3 Blocks) Rorze 5P pulse motor driver RD-153A, Vextra PH569-A step motor. DC 1.4 A/ 2.3 Ohm/ 5 ph/ 72º step, This assembly was removed from a decommissioned low hours Semix wafer processing unit. All components are in very good condition from our visual inspection. We will remove the arm from the slide table and ship in two packages. Alternatively. If you would prefer the arm and controller we will ship it at recalculated ... moreshipping only. Thanks for looking- we appreciate your business! Please check out on eBay. Please Read: Other than what's displayed in the images accompanying this listing or mentioned in the description above. There are no manuals, instructions, additional parts or accessories. Shipping Information: Bidders outside the Continental U.S. including bidders in Alaska. Hawaii, Puerto Rico& our friends in Canada) MUST contact us to determine(non-freight) shiping costs! Any shipping prices or shipping calculators displayed in our ads are for continental U.S. addresses only. Alaska. Hawaii and all non-U.S. shipments will be sent through the U.S. Postal Service ONLY. If you live OR are requiring shipment outside the continental U.S. it is important to contact us for an individual shipping estimate. Be sure to do this before you purchase. FREIGHT SHIPMENTS: ARE THE RESPONSIBILITY OF THE BUYER TO ARRANGE AND PAY FOR OUTSIDE OF SALE. See item description and the Shipping FAQs link for details on our freight shipping policy. Buyer pays for shipping& insurance. And any additional packing/palleting/crating fees. The amount we charge for shipping. Whether given as a fixed rate or cal
SST SST-DNP-CPCI-3U-2-NC DeviceNet Scanner Circuit Board AMAT Centura 300mm work
This SST SST-DNP-CPCI-3U-2-NC DeviceNet scanner circuit board is used working surplus. The physical condition of the circuit board is good and clean. But may have some minor scratches from use and handling. It was removed from an AMAT Centura 300mm. Assembly Part No: SST-DNP-CPCI-3U-2-NC Model: DeviceNet Scanner Pro 3U Compact PCI Interface Card HW. No: 490-1510 PCB Rev. 1.0 AMAT Part No: 0190-08680 Rev. 004 Made in Canada Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: 16”x13”x10” 3-4 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included ... moreor available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies
MEI A022-0001 Rev. 3 XMP Circuit Board AMAT Centura 300mm working 0190-03601
This MEI A022-0001 Rev. 3 XMP circuit board is used working surplus. The physical condition of the circuit board is good and clean. But may have some minor scratches from use and handling. It was removed from an AMAT Centura 300mm. Assembly Part No: A022-0001 Rev. 3 Model: XMP Circuit Board XMP-CPCI-3U AMAT Part No: 0190-03601 Rev. 003 1013-0031 Rev. 3 PCI T001-0024 Rev. 3 Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: 16”x13”x10” 3-4 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. ... moreThe pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax app
Varian L5797-311 Cold Cathode Vacuum Gauge 860A-2 525/GAUGE K9234-311 working
This Varian L5797-311 Cold Cathode Vacuum Gauge 860A-2 is used working surplus. The physical condition of the gauge is good and clean. But may have minor scratches from use and handling. Part No: L5797-311 Model No: 860A-2 Part No: K9234-311 Model No: 525/GAUGE Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: 22”x 16”x 12” 8 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details ... moreBelow) Lister AZ2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged
Asyst Technologies 3200-1225-05 EFEM Sorter PCB
Good used pull:RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28e013%3F%3E%3A-143ed288967-0xfa-
SBS 89004890 Rev. A CPMC1 Circuit Board AMAT Centura 300mm working 0190-17952
This SBS 89004890 Rev. A CPMC1 circuit board is used working surplus. The physical condition of the circuit board is good and clean. But may have some minor scratches from use and handling. It was removed from an AMAT Centura 300mm. Assembly Part No: 89004890 Rev. A Model: CPMC1 Circuit Board HW. No: 9000-30-064 Rev. A0 AMAT Part No: 0190-17952 Rev. 001 AMAT Part No: 0190-07657 Rev. 002 PCB No: 89004885 Rev. 1.1 PN: 89004890 Rev. A Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: 16”x13”x10” 3-4 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not ... moreincluded or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Ta
AMAT 0190-10208 Mainframe Interlock Circuit Board AMAT Centura 300mm working
This AMAT 0190-10208 Rev. 002 mainframe interlock circuit board is used working surplus. The physical condition of the circuit board is good and clean. But may have some minor scratches from use and handling. It was removed from an AMAT Centura 300mm. Assembly Description: Circuit Board Part No: 0190-10208 Rev. 002 Model: Mainframe Interlock Fab. No: 0110-00635 Rev. 001 15018003 Made in USA Notable Components: Dip. Sub-Circuit Board, Part No: DIP-CDN180-12 DIP180-12 Made in USA Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: 16”x13”x10” 3-4 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included ... morein the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies
AMAT 0190-10208 Loadlock Interlock Circuit Board AMAT Centura 300mm working
This AMAT 0190-10208 Rev. 002 loadlock interlock circuit board is used working surplus. The physical condition of the circuit board is good and clean. But may have some minor scratches from use and handling. It was removed from an AMAT Centura 300mm. Assembly Description: Circuit Board Part No: 0190-10208 Rev. 002 Model: Loadlock Interlock Fab. No: 0110-00636 Rev. 001 15018003 Made in USA Notable Components: Dip. Sub-Circuit Board, Part No: DIP-CDN180-12 DIP180-12 Made in USA Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: 16”x13”x10” 3-4 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included ... morein the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ3 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to