Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
303.60
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Part No: 20501DAL0003000. This MRC Materials Research 20501DAL0003000 Aluminum VP Inset is new surplus. The physical condition is great.
$
120.00
Buy It Now
$15.50 Shipping
Condition: New – Open box
Location: Milpitas, United States
Model:PDS -110A.
$
2508.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Nikon 300mm Wafer Transfer Station Transport NRM-3100 Overlay System is used working surplus. The physical condition is good, but there are signs ... moreof previous use and handling. Model No: Wafer Transfer Station Transport.
$
499.00
Buy It Now
$18.10 Shipping
Condition: Used
Location: Estero, United States
KEEP IN MIND ALL OUR PRODUCTS HAVE NON REMOVABLE TAMPER PROOF HOLOGRAMS AND WE KEEP SERIAL NUMBERS AND DIGITAL PHOTOS OF THE PRODUCT ON FILE!
$
4502.24
Buy It Now
Condition: Used
Location: Albuquerque, United States
Model No: WJSTD8400. The physical condition is good and there are visible signs of previous use and handling. Untested As-Is. Item Condition: Untested ... moreSurplus, Sold As-Is. Serial numbers or country of manufacture may vary.
$
89.00
Buy It Now
$9.95 Shipping
Condition: For parts or not working
Location: San Jose, United States
Olympus 2 20x W.F. and Microscope Head Made in Japan- Parts. See my lenses and other lab microscope items. See my 100% rating.
$
503.60
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0010-13140 200mm Wafer 15-Slot Tray Assembly is used working surplus. The physical condition is good, but there are signs ... moreof previous use and handling. ASSY, 15-SLOT TRAY, 200MM. 450SPG.
$
405.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Serial numbers or country of manufacture may vary. Part No: G1820-65343.
$
1510.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0200-02043 Ceramic External Insulator is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. INSULATOR EXTERNAL PRODUCER.
$
350.00
Buy It Now
$53.96 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Condition: Used. New? The photos were from the real parts. Not tested. We sell it at AS Is , where is, no return. No warranty. Please double check the ... morephotos before you buy this item. Appreciate your time!Photos are for all and the price is for all. Thanks!!!Pls contact us if you have any questions regarding to ElectroGlas EG 1034 EG 2001 EG 2010 EG 3001 EG 4080 EG 4085 EG 4090 EG 4090u+ wafer prober. Appreciate your time.
$
425.00
Buy It Now
$38.91 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-5Condition: New. Not tested. We sell it at AS Is , where is, no return. No warrantyPls ... morecontact us by email if you have any questions. Appreciate your time.
$
906.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: 0270-40094. Inventory # CONF-2252.
$
1505.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Nikon PPD Wafer Transfer Arm NSR Series is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... morePart No: PPD Wafer Transfer Arm. Removed from a Nikon NSR Series System.
$
1502.22
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This AMAT Applied Materials 0020-09604 CVG Upgrade Teflon Block Lot of 3 is new surplus. The physical condition is great. AMAT Applied Materials Precision ... more5000 P5000 CVD Etcher System. Part No: 0020-09604.
$
1253.60
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Novellus Systems 15-00934-00 150/200mm Wafer Indexer is used working surplus. The physical condition is good, but there are signs of previous use ... moreand handling. INDEXER, WAFER, EXCL OPT, 150/200MM.
$
802.22
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Mattson Technology 263-20986-00 CVD Lift Pin Assembly is new surplus. The physical condition is great. ASY,LIFT PIN. Part No: 304-20897-00, LIFT ... morePIN. Part No: 304-20979-00, HOUSING, LIFT PN. Part No: 303-07776-00, RETAINER, PIN SPRING.
$
1903.24
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Millipore W2501PH01 Photoresist Pump is used working surplus. The physical condition is good, but there are signs of previous use and handling. Part ... moreNo: W2501PH01. Model No: PHOTO-250.
$
14999.00
Buy It Now
Condition: Used
Location: Singapore
Local GST for Singapore Sales: 9%. Cash and Carry for Local Sale only.
$
512.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. PLATE,PIN,A3 CVD. Inventory # CONJ-2477.
$
402.22
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: 0020-79162.
$
810.21
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
Part No: H5027001. This Varian Ion Implant Systems H5027001 Cooling Flange is refurbished surplus. The physical condition is good, but there may be signs ... moreof previous use and handling. Manufacturer Refurbished.
$
509.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Novellus Systems 15-00409-00 Chamber Window is new surplus. The physical condition is great. Serial numbers or country of manufacture may vary. Inventory ... more# CONJ-1826.
$
2509.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Novellus 02-134264-00 200mm Electrostatic Chuck is used working surplus. The physical condition is good, but there are signs of previous use and ... morehandling. Serial numbers or country of manufacture may vary.
$
308.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: H7225005. CLAMP,RING ASSY,125MM,CONT COOLED. Serial numbers or country of manufacture may vary.
$
602.20
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: 17021871, 99065, Electron Shower Aperture (Qty. Part No: 99752A, 1277408, Pole, Short (2.00"), Electrostatic ... moreQuadrapole Crosses to OEM No.:17118510 (Qty.4). ).
$
1753.60
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Kyocera XAS-13758 300mm ESC Electrostatic Chuck is used working surplus. The ESC has visible finger/hand prints from handling (see photos). The physical ... morecondition is good, but there are signs of previous use and handling.
$
1508.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Nikon NWL 860 TNB-SP 200mm Wafer Inspection Loader NWL860. This Nikon NWL860 200mm Wafer Back Side Center Macro Inspection Arm Assembly ... moreis used working surplus. The physical condition is good, but there are signs of previous use and handling.
$
1754.35
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
One of the bowls is not included (see photos). The physical condition is good, but there are signs of previous use and handling. Part No: 8-Port Photoresist ... moreTray. Sunx Part No: EM-071T (Qty. Serial numbers or country of manufacture may vary. ).
$
5601.56
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hoya-Schott UL200T-L1 UV Light Source is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... morePart No: UL200T-L1.
$
2512.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0020-22982 Adapter is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... moreSerial numbers or country of manufacture may vary.
$
801.22
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Part No: H5173001. The physical condition is great. SHIELD,GRAPHITE,EXIT.
$
304.60
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: Y7603000. This Bio-Rad Y7603000 Left Side 200mm Wafer Platform is used working surplus. The physical condition is good, but there are signs of ... moreprevious use and handling.
$
1200.00
Buy It Now
$64.81 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Condition: New.The photos were from the real parts. Not tested. We sell it at AS Is , where is, no return. No warranty. Please double check the photos ... morebefore you buy this item. Appreciate your time.Photos are for all and the price is for all. Thanks!!!Pls contact us if you have any questions regarding to ElectroGlas EG 1034 EG 2001 EG 2010 EG 3001 EG 4080 EG 4085 EG 4090 EG 4090u+ wafer prober. Appreciate your time.
$
750.00
Buy It Now
$29.48 Shipping
Condition: New – Open box
Location: San Jose, United States
AMAT Spares is unable to test item to verify the condition. AMAT Spares. We are also interested in your unwanted or excess Applied Materials inventory.
$
909.21
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
Self Align Shield Manufacturer Refurbished. Self Align Shield is refurbished surplus. The physical condition is good, but there may be signs of previous ... moreuse and handling. Manufacturer Refurbished.
$
199.00
Buy It Now
$17.50 Shipping
Condition: Used
Location: San Jose, United States
Varian 04-700090-01 Dua1 8-Channel Valve Board. 4ea 24V Solenoid Valve p/n: 04-709553-01. 1ea 24V KOSMA 110E1-F11 Valve.
$
1208.21
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
This AMAT Applied Materials 0020-42001 200mm CVD Chamber Lamp Collimator is used untested surplus and is being sold as-is. There are scuffs to the surface ... more(see photos). The physical condition is good, but there are signs of previous use and handling.
$
1503.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection System. This Hine Design 03365-008 200mm Wafer Indexer Lift Loader is used working ... moresurplus. The physical condition is good, but there are signs of previous use and handling.
$
790.00
Buy It Now
$11.98 Shipping
Condition: Used
Location: San Jose, United States
$
2507.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0040-99997 200mm ESC Notch Pedestal is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. PEDESTAL ASSY, 200MM, NOTCH.
$
5995.00
Buy It Now
$53.96 Shipping
Condition: Used
Location: San Jose, United States
Applied Materials 0010-09750 RF Match, Assy. AMAT Spares is unable to test item to verify the condition. AMAT Spares. We are also interested in your unwanted ... moreor excess Applied Materials inventory.
$
475.00
Buy It Now
$12.00 Shipping
Condition: Used
Location: Rochester, United States
Equipe cables. 2-02-011-07 signal cable.
$
455.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Part No: 17138590. The physical condition is great.
$
3504.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Model No: SCE92100050. The physical condition is good, but there are signs of previous use and handling. Sale Details.
$
808.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Asyst Shinko VHT-CL1-E-1 OHV Overhead Vehicle SOHT-300 300mm FOUP Wafer Transport System. This Shinko 300mm FOUP Lift Assembly VHT-CL1-E-1 ... moreWafer Transport is used working surplus. The physical condition is good, but there are signs of previous use and handling.
$
2012.22
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: H5408002. SHIELD MACH MASS ANA #2.
$
3004.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Ultrapointe 1000 200mm Laser Imaging System. Part No: 310M. The physical condition is good, but there are signs of previous use and handling. ... moreSerial numbers or country of manufacture may vary.
$
2503.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a Hitachi M-712E 200mm Shallow Trench Etcher System. Rorze ... more2P Micro Step Drive Part No: RD-023MS. PCB Part No: HT98311, BD11.
$
550.00
Buy It Now
$27.67 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Condition: New. The photos were from the real parts. Not tested. We sell it at AS Is , where is, no return. No warranty. Please double check the photos ... morebefore you buy this item. Appreciate your time.Photos are for all and the price is for all. Thanks!!!Pls contact us if you have any questions regarding to ElectroGlas EG 1034 EG 2001 EG 2010 EG 3001 EG 4080 EG 4085 EG 4090 EG 4090u+ wafer prober. Appreciate your time.
$
3500.00
Buy It Now
$84.57 Shipping
Condition: Used
Location: Morgan Hill, United States
Condition: used . Look like New.? The photos were from the real parts. Not tested. We sell it at AS Is , where is, no return. No warranty. Please double ... morecheck the photos before you buy this item. Appreciate your time!Pls contact us if you have any questions regarding to ElectroGlas EG 1034 EG 2001 EG 2010 EG 3001 EG 4080 EG 4085 EG 4090 EG 4090u+ wafer prober. Appreciate your time.
$
2503.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Lam Research 02-426825-00 Heater Pedestal PED Assembly is used working surplus. The unit was tested for resistance (see photos). The physical condition ... moreis good, but there are signs of previous use and handling.
$
1350.00
Buy It Now
$22.50 Shipping
Condition: New – Open box
Location: San Jose, United States
Varian F9753001 Flange, Suorce Bush for Batch XP, IIS.
$
1250.00
Buy It Now
$110.05 Shipping
Condition: Used
Location: Morgan Hill, United States
HP Controller 382 Hewlett Packard ID-AWW-6-4-006Condition: Used. We sell it at AS Is , where is, no return. No warrantyPls contact us by email if you ... morehave any questions. Appreciate your time.
$
6500.00
Buy It Now
$60.57 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Condition:New. The photos were from the real parts. Not tested. We sell it at AS Is , where is, no return. No warranty. Please double check the photos ... morebefore you buy this item. Appreciate your time!Pls contact us if you have any questions regarding to ElectroGlas EG 1034 EG 2001 EG 2010 EG 3001 EG 4080 EG 4085 EG 4090 EG 4090u+ wafer prober. Appreciate your time.
$
504.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. INSERT CHAMBER SLIT VALVE. Serial numbers or country of manufacture may vary.
$
602.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. RING, WAFER LIFT, NI/SO. Part No: 0020-10059.
$
378.10
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
This AMAT Applied Materials 0010-10327 200mm ESC Wafer Flat Pedestal is used untested surplus and is being sold as-is. The face has a deep scuff (see ... morephotos). The physical condition is good, but there are signs of previous use and handling.
$
3750.00
Buy It Now
$98.53 Shipping
Condition: Used
Location: Morgan Hill, United States
Home Design Robot Assembly For Gasonics Aura 2000-LL AWD-D-3-4-001The item was deinstalled from a working Gasonics Aura 2000LL in an Intel 8 inch Fab ... morein Santa Clara.Condition: Used. Not tested!We sell it at AS Is , where is, no return. No warrantyIt is subject to prior sale without notice. Pls check the availability with us. Pls contact us by email directly if you have any questions. Appreciate your time.
$
750.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Serial numbers or country of manufacture may vary. Model Number: EW-L0120111030.
$
39.99
Buy It Now
$5.80 Shipping
Condition: New
Location: Temple, United States
The model/part number is clearly labeled for easy identification.
4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22
 In 

Former Listings  
 
Nikon Sony DPR-LS21 1-675-992-13 Laserscale PCB Circuit Board EP-GW Used
This Nikon Sony Laserscale PCB circuit board is used working surplus. It was removed from a Nikon NSR-S204B step and scan lithography system. The physical condition of this PCB is good and clean. Part No: DPR-LS21 1-675-992-13 Model No: BD918B 20170 EP-GW Made in Japan Condition: Used Working. 90 Day Warranty. Estimated Packed Shipping Dimensions: L x W x H = 12"x12"x12" 5 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day ... moreSatisfaction Guarantee(See Details Below) Lister AZ-12 Can't find the answer you're looking for? contact us! Office Hours: 8:00 am to 5:00 pm MST Monday to Friday We are closed on Weekends and all major US holidays. Email Address: sales@usedeqsales.com Phone Number: 505-345-8732, Fax Number: 505-345-8730, Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged or palletized and shipped via the best
Yaskawa Nikon 4S064-340 Power Supply XU-DL1510 Used Working
This Yaskawa Nikon power supply is used working surplus. It was removed from a Nikon NSR-S204B step and scan lithography system. The physical condition of this power supply is good and clean. Part No: 4S064-340 Model No: XU-DL1510 Made in Japan Condition: Used Working. 90 Day Warranty. Estimated Packed Shipping Dimensions: L x W x H = 12"x12"x20" 12 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See ... moreDetails Below) Lister AZ-12 Can't find the answer you're looking for? contact us! Office Hours: 8:00 am to 5:00 pm MST Monday to Friday We are closed on Weekends and all major US holidays. Email Address: sales@usedeqsales.com Phone Number: 505-345-8732, Fax Number: 505-345-8730, Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged or palletized and shipped via the best and most economical way
Nikon 4S007-941-2 B PCB Circuit Board MIS-POWAMP2 Used Working
This Nikon PCB circuit board is used working surplus. It was removed from a Nikon NSR-S204B step and scan lithography system. The physical condition of this PCB is good and clean. Part No: 4S007-941-2 B Model No: MIS-POWAMP2 Made in Japan Condition: Used Working. 90 Day Warranty. Estimated Packed Shipping Dimensions: L x W x H = 16"x16"x16" 6 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details ... moreBelow) Lister AZ-12 Can't find the answer you're looking for? contact us! Office Hours: 8:00 am to 5:00 pm MST Monday to Friday We are closed on Weekends and all major US holidays. Email Address: sales@usedeqsales.com Phone Number: 505-345-8732, Fax Number: 505-345-8730, Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged or palletized and shipped via the best and most economical way possibl
AMAT Applied Materials Heater, 300mm ESC, 0010-24456, Endura2, slightly used
Applied Materials 300mm Low Temp Heater Assembly Part Number: 0010-24456. Condition: Used. Heater was installed in an Endura 2 chamber to run a 200 Ti wafer test. Heater was then removed cleaned and repackaged. The Heater is slightly used. This heater was installed to verify there was no performance difference between the part#s. 0010-24456 and 0010-22985. Shipping: Buyer pays for all shipping charges. Handling: Will usually ship within 3-5 business days of receiving cleared payment. All shipping fees are non-refundable) Returns: Items may be returned within 7 days of receipt if defective or not as described. Non returnable if damaged during installation or testing. Payment: PayPal- Payment must be received within 7 business days after order is confirmed.
Signatone S-1008 Manual Wafer Probing Station
Signatone S-1008 Manual Wafer Probing Station This item has been used previously before de-installing. It has been inspected, cleanned maintained to manufacturer specifications. Please contact us for current status. And configurations. This item is sold as is/where is. We are price-flexible. Contact/visit us at your most convenience. QUALITY EQUIPMENT SOURCE LLC is a surplus company with approximately 50.000sq ft of warehousing, where you will find almost any type of Semiconductor Equipment or other. Back-end, front-end, metrology and facility equipment we specialize and take pride in delivering to you the best in the market.
BROOKS AUTOMATION MAGNETRAN 7 WAFER TRANSFER ROBOT
PRISM ELECTRONICS"Celebrating 10 Years of Excellence and Service in the Electronics Industry" Item up for sale is a: BROOKS AUTOMATION 10-8000-37 MAGNETRAN 7 WAFER TRANSFER ROBOT Item is used and is untested other than what is indicated below: Untested. This unit appears to be new and unused but cannot be verified. Being sold as used. Please see included items. May be missing a few items. If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions Items included are: 1) Wafer Transfer Robot Picture represents the actual item. The first photo is a file photo and not the actual item. 95.00 lbs. approximate shipping weight All internation buyers must arrange for their own shipping or contact me for a shipping quote. Included is only what is mentioned. No other cables. ... moreParts, power cords, power adapters, software or any other accessories included. ============================================================================ Terms of Sale Payment Options PayPal only or contact us for payment methods to pay on pickup. Bank transfers or payments using a Cashier’s Check may be available. Contact us for additional information and fees. RETURNS Warranty for Items shipped in the US 14-Day Right to Return- Buyer has 14 days to test item to determine its operational condition unless it was listed as Parts-Only or As-Is. Should the item be received non-operational you may return the item for refund of the purchase price. 14-day period starts the day you receive the item. You cannot return an item because it is not compatible with the system you installed the item into. Please insure that the item you are purchasing is compatible with the application or in the system you intend to use it. Warranty for Items shipped outside the United States All international sales shipped to a destination outside the US are non-refundable. All international sales are final. SHIPPING Shipping within the United States Buyer is responsible for all shipping costs including ret
MKS Model 253A-2-50-2 Vacuum Throttle Valve, 2", KF-50
MKS Model 253A-2-50-2 Vacuum Throttle Valve S/N 96045116L KF-50. 2" Missing Connector. Unable to test. 14 day right of return. Quoted shipping price for lower 48 states US customers only. Questions? Call 254-760-2424
LOT OF 5 USED & 2 NEW DICING BLADES DISCO, SEMICON TOOLS, & WINTER
This AUCTION is for one LOT of 5 USED& 2 NEW DICING BLADES from DISCO. SEMICON TOOLS and WINTER. 3 USED and 1 DISCO made in Japan The NBC-ZH series dicing blades DISCO's original technology. A combination of an ultra-thin diamond blade and an aluminum hub provides enhanced operation efficiency and stable cutting results. 2 USED SEMICON TOOLS Thailand P40& P42 dicing blades and I NEW WINTER made in Germany WINTER MICRODICE T45 X 1000 K dicing blade. Please see photographs for more detail. A scale shown is for size reference only and not part of sale. Thank you for looking. We ship Wednesday through Friday and are happy to combine orders for shipping costs. Please check my other sales& auctions of precision watchmakers. Machinists tools and other unique items. All items are described to the best of my ability and questions are ... moreencouraged. Canadian Buyers must pay applicable taxes. Please be advised international bidders may have customs or duty charges applied by their government when items cross the border. These are the sole responsibility of the buyer. have No way to know when and if these charges will be applied. I will not falsify shipping documents. So please don't ask. We accept PAYPAL for sales within north America. All items shipped to the US are sent by courier(we offer rates that are nearly the same as postal service) for fast and accurate delivery. The shipping rates listed are for Canada and Continental USA. For Alaska, Hawaii and Overseas please request a shipping quote. All items are sold"as is" with no warranty of performance or suitability.Proper and safe use of any item(s)purchased is the sole responsibility of the purchaser.It is understood that by purchasing any item the purchaser assumes all liability and holds harmless and indemnifies JSR& Father Industrial Machinery& Supplies Inc. and its directors against any damage or penalties associated with: 1. compliance to current or future laws. Rules or regulations pertaining to the use or possession of any equip
WentWorth MP0901 Manual Wafer Probing Station
WentWorth MP0901 Manual Wafer Probing Station This item has been used previously before de-installing. It has been inspected, cleanned maintained to manufacturer specifications. Please contact us for current status. And configurations. This item is sold as is/where is. We are price-flexible. Contact/visit us at your most convenience. QUALITY EQUIPMENT SOURCE LLC is a surplus company with approximately 50.000sq ft of warehousing, where you will find almost any type of Semiconductor Equipment or other. Back-end, front-end, metrology and facility equipment we specialize and take pride in delivering to you the best in the market.
Equipe Technologies TTR-100 Robot Teach Pendant Handheld Control 8045R2-2 PARTS
Search our eBay Store! Equipe Technologies TTR-100 Robot Teach Pendant Handheld Control 8045R2-2 PARTS SKU: JV-BIN-B-EQUIPETTR100 Condition: For parts or not working Packaging: OEM Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge New Page 1 Equipe Technologies TTR-100 Robot Teach Pendant Handheld Control 8045R2-2*FOR PARTS&OR REPAIR* PLEASE NOTE: WE HAVE REASON TO BELIEVE THAT THIS IS A DEFECTIVE UNIT. Model: 8045R2-2. Physical Condition: Good- Scuffs/scratches on the unit. Unit show signs of wear. Adapter is in good condition. Control panel is in good condition. Display screen show no signs of major scratches. Functionality/Degree ... moreof Testing: We do not have the necessary resources to test this unit. Cable is included. Dimensions(L" W" H" 7 1/8* 4 1/8* 1. BEING SOLD AS-IS FOR PARTS OR REPAIR. THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If
Temptronics: TP0412A-2-60 Forcing System w/ TP0412A & DUT Temp. Unit. Inv. 2
Temptronics Model: TP0412A-2-60 Forcing System w/ TP0412A& DUT Temp. Unit. We cannot test tool. But sold with a 14-Day Power Up Warranty Only. An additional $50.00 will be added to Freight Shipping for professional palletizing. Terms of Sale Systech. Inc. provides a 14-Day After Receipt of Product Warranty unless otherwise indicated. Buyer’s identified defective product shall be returned to Systech at Buyer’s expense. Buyer is responsible for obtaining return authorization from Seller. Full refund shall be issued after receipt. Inspection and serial number verification. Refund includes shipping paid outbound from Systech. Due to cost of administration. Purchased products returned due to no longer needed or Buyer purchased error shall be assessed 15% Unit Price) Restock Fee. Buyer is responsible for obtaining return authorization. Shipping ... morepaid outbound from Systech is not refundable. Systech ships via USPS and FedEx(Ground and Express) FOB Phoenix. Please contact Systech prior to paying for product if Express shipping is required. Buyer has option to select alternate transport carrier at Buyer’s expense. Buyer must arrange alternate shipping carrier. Acceptable Payment Methods are: PayPal; Visa; MasterCard or American Express. Credit Card payment is only accepted for domestic ship to locations. Unless otherwise approved by Systech. Local Pick up is acceptable. Applicable tax applies. We thank you in advance for shopping our Store and look forward to continued business with you. Powered by The free listing tool. List your items fast and easy and manage your active items. 1?RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2B%3E%3B1%3F-13ff6cd9376-0x104-
Genmark Automation Transfer Robot 2LA0797220 GPR Wafer Handler
Item up for sale is a: Genmark Automation Transfer Robot Model 2LA0797220 GPR Wafer Handler*Features: Fast. Accurate, repeatable transportaioin of wafers, and photmasks. Extended reach and vertical ravel combination Rotation motion range DSP-based motion controller for vibration- free wafer transport Mutli-segment continuous path cotrol Optional scanning feature with fast and slow scanning mode High precision wafer handling robot that offers superior performance at an affordable price. Identical in size to Genmark Automation's popular GB4 Robot. It features many design enhancements that result in improved robot performance and reliability. Engineered for single or dual wafer transport, the GB4S robot is available in various reach and vertical stroke combinations. Genmark Automation's Robot used in conjunction with the RPA-0 Remote ... morePre-aligner, provides a highly accurate, highly repeatable pre-alignment and transport system at a very competitive package price for a variety of wafers and photomasks. Genmark Automation has developed innovative approaches for handling thin wafers(down to 100 microns) Specially designed end-effector paddles. Pre-aligner chucks, lifting pins, along with dedicated motion control software guarantee safe material handling overcoming increased wafer sag and fragility. The option of a flipping end-effector is also available. This item is NOT tested unless otherwise noted. If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. 150 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. Payment options: PayPal only or contact us for payment methods to pay on pickup. Warranty for US shipments: 14 days testing period unless the auction specified as final sale. Buyer must return the product back to the company in a timely manner. Refund upon
Rotor A72-40MB-64A02 for Semitool STI Spin Rinser Dryer SRD , 4-Bolt , H BAR IN
Rotor for Semitool Spin/Rinser/Dryer Description 4-Bolt Rotor for mounting in Semitool Spin/Rinser/Dryer. A72-40MB-64A02 cassette fits inside loaded up to 25 round silicon wafers 4-Inch in Diameter. Balanced 0-25 Wafers. H BAR IN. All heavy duty Stainless Steel construction. Condition Excellent condition with some microscratches. No dings. No Stains. Specifications Dimensions: Approx. 11" Diam. Flat Backing Plate. 7-1/2" Mounting Holes OC, 1-3/8" Diam. Center Hole. Weight: Approx. 10 Lbs. Items ship within 5-Days of payment not including weekends. See additional checkout instructions for Shipping& Handling fees.
SIGMAPOINT TECHNOLOGIES CHRYSALIS-ITS LUNA DOCK PCI CONTROLLER
The item has been fully tested by our tech department and is in good working condition. Item is guaranteed non-DOA and covered under our 14-day return policy. Item comes to you exactly as pictured- nothing more. Nothing less.
A Box of 25 pieces 8" (200mm) Silicon Wafers with Copper Thin Film Coating
A Box of 25 pieces 8" 200mm) Silicon Wafers with Copper Thin Film Coating This auction is for a Box. 25 pieces of 8" 200mm) Copper Coated Silicon Wafers. The wafers are in great conditon as shown in the photos below. Buy with confidence from a RELIABLE seller. Winning Bidder pays FIXED $20.00 Shipping/Handling fee within continental US. No International Shipping! Preferred method of payment is Paypal. Money Order will also be accepted. Payment of PAYPAL must be received in 5 days after close of auction. Email with ANY questions BEFORE you bid. THANK YOU!
24-Wafer Carrier/Shipping Box Clear 7"W x 5 3/4"D x 6 3/4"H P/N 60956 N60956
Up for Sale are slightly used wafer carrier/ holder These came out of a shop that manufactures semi conductor machinery They no longer have any use for these Measurements are 7"Wide x 5 3/4" Deep x 6 3/4" High Location Pallet D
Varian Ultra High Vacuum Chamber Part - LINEAR FEEDTHROUGH Part Number 954-5049
Varian LINEAR FEEDTHROUGH. Part Number 954-5049. This is one of various parts off a Varian Ultra High Vacuum System. Shipping is free! Posted with
A Box of 25 pcs 8" (200mm) Silicon Wafers with Copper Thin Film Coating
A Box of 25 Pcs 8" 200mm) Silicon Wafers with Copper Thin Film Coating This auction is for a Box. 25 Pcs of 8" 200mm) Copper Coated Silicon Wafers. The wafers are in great conditon as shown in the photos below. Buy with confidence from a RELIABLE seller. Winning Bidder pays FIXED $20.00 Shipping/Handling fee within continental US. No International Shipping! Preferred method of payment is Paypal. Money Order will also be accepted. Payment of PAYPAL must be received in 5 days after close of auction. Email with ANY questions BEFORE you bid. THANK YOU!
Applied Materials 0010-76317 Cass Index Motor Assy, Vexta A3723-9215
Applied Materials: 0010-76317 Cass Index Motor Assy. Vexta A3723-9215 5-Phase Stepping Motor with mounting brackets and timing pulley. Very clean. Check out my! EBRC1C
Asyst Technologies Spartan EFEM Sorter Elevator Assembly
Asyst Technologies Spartan EFEM Sorter Elevator Assembly. Good Spare
MKS Model 128AA-00001B, 1 Torr Baratron
MKS Model 128AA-00001B. Baratron 1 torr Serial# 73564-1A Input +/ 15VDC. Output 0-10 VDC 1/2" VCR fitting. Untested. 14 day right of return. Ships FedX Ground. Please provide a phone# Quoted Shipping cost is for lower 48 states US customers only. Call Logan Technologies LP. 254-773-4070
MKS Model 127AA-000.1E, .1 Torr Baratron
MKS Model 127AA-000.1E. Baratron.1 torr Serial# 92122100A Input +/ 15VDC. Output 0-10 VDC 1/2" VCO fitting. Untested. 14 day right of return. Ships FedX Ground. Please provide a phone# Quoted Shipping cost is for lower 48 states US customers only. Call Logan Technologies LP. 254-773-4070
VARIAN ANALOG CONTROL 03-920043-00 03-920040-00 PCB MASS SPECTROMETER
Manufacturer: VARIAN Model: 03-920043-00; 03-920040-00 Condition: USED Notes: Used surplus removed from a major U.S. fab. PCB is in good condition Included: 1) VARIAN ANALOG CONTROL 03-920043-00 03-920040-00 PCB
Used Mykrolis Wafergard GTL Max. Pres 0.41 MPa
Mykrolis Wafergard Mykrolis Wafergard Description: Used Mykrolis Wafergard GTL Max. Pres 0.41 MPa Note: Item has been used-Item has signs of use(scratched. Scuffed, etc. Selling item as pictured. And only what is pictured SHIPPING Flat rate to continental U.S. We Ship USPS and UPS(MON-FRI) Daily pickups Based on your items size/weight/destination/etc. $7 Including Tracking Number Shipments outside continental U.S. are shipped USPS Additional shipping charges DO APPLY! Please email for shipping quote NOTE: THERE IS NO SUCH THING AS CHEAP INTERNATIONAL SHIPPING. U.S.P.S AND UPS HAVE BOTH CHANGED THEIR RATES. AND MINIMIZED THEIR SHIPPING OPTIONS. AT CLOSE OF AUCTION Ending Auction amount +Shipping =Total Email for additional payment instructions Payment options PAYPAL from Verified paypal accounts with confirmed shipping addresses. WE SHIP ONLY ... moreto CONFIRMED SHIPPING ADDRESSES. Out of U.S. Payment options PAYPAL Payments shipping to a CONFIRMED shipping address(different then verified) Paypal can not confirm shipping addresses in all countrys so if you cannot provide a confirmed shipping address. Your Paypal payment may be refunded and you will be asked to pay using another method. Shipping We ship all of our items out within 2 business days of confirmed payment. Paypal USERS: WE SHIP ONLY to CONFIRMED SHIPPING ADDRESSES. Shipping to PO Boxes Go USPS Standard 8-10 estimated transit time. Priority shipping costs may be additional. Please email for quotes. Outside U.S. Shipping Additional shipping charges do apply. Please email for shipment quote. All out of U.S. shipments ship USPS. Shipping Policy We report Actual sold price on USPS forms. Buyer is responsible for any/all Custom Fees. Warranty We do not offer any warrantys on our items unless otherwise noted in description. We do not accept any As-is products for return. DOA/30 day Warranty DOA(Dead on arrival) Items MUST be reported DOA from 7 days after receipt. 30 day warranty Items MUST be reported Defective from 30 days after receipt. No Exceptions. War
$2 Million - Diafuku and YAC AMHS Equipment for 300 mm Wafer Storage System
Diafuku and YAC AMHS Equipment for 300 mm Wafer Storage System Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Description: 245 FOUP Roller Conveyors by YAC Co Lts CLS-50 IIStocker Daifuku Rackmaster Unit(horizontally expandable) 4 FOUP(Front Opening Unified Pod) I/O Stations 20 Turntables 9 Position Units(OHT) These items were affected by clean water leaks from above and are part of an insurance claim. Looking for offers. Stored in a warehouse. This system was never installed. According to our sources. The unit was never directly affected by the water leak but only touched the pallets they were sitting on. System cost $2 million originally. The complete equipment list is under the photos. You can click on any photo above and it will enlarge ... morethe image. You are welcome to come to our warehouse after July 20th to view and inspect the units! SHIPPING/HANDLING: PLEASE NOTE THAT ALL SHIPPING FEES LISTED ARE FOR THE 48 US STATES. IF YOU LIKE IN HAWAII OR ALASKA. SHIPPING WILL BE MORE. We are surplus dealers meaning we deal with many items over a wide range of categories. We do our best to describe each item but we are not experts on any one item or category. If it is not pictured. It is not included. If you have questions. Please write us prior to bidding. Problems: If you have a problem with an item when you receive. Please write us or call us at 214-808-2451. We will work with you to resolve the issues. ABOUT US: The CEO of this company has over 17 years of experience selling on ebay and the internet. Our products can be found on ebay. Amazon and the web. is a subsidiary of Interstateblue. Inc. Other companies in our family include Interstateblue(Amazon) and Interstateblue(website) WARRANTY/ RETURNS: Should you experience a problem with your order or product. Please do the following: 1. Contact us. A. Email us through the ebay system. B. Call 214-808-2451. We cannot resolve your issue if you do not give u
MILLIPORE WAFERGARD STAINLESS T-LINE GAS FILTER HOUSING 150PSI B221393
Brand: Millipore Condition: Used Model: NA Weight: 2 lbs Dimensions: 5 X 4 X 3 SKU: B221393 Category: Miscellaneous Additional Notes:
KLA 5105
Excellent condition. Running in FAB. Annual PM done recently. Overlay and CD capability. May be inspceted in Fab. Located Texas.
MKS PRESSURE TRANSDUCER MODEL 122A 1000 TORR- 122aa-01000ab - CC
MKS PRESSURE TRANSDUCER MODEL 122A 1000 TORR- 122aa-01000ab- CC Description: Thank you for your interest! We are auctioning off this MKS PRESSURE TRANSDUCER MODEL 122A 1000 TORR- 122aa-01000ab- CC. Acquired from government surplus. As you know with most government agencies. When a new grant comes in, they have to justify the grant by buying new equipment leaving very useful equipment for surplus. COMES AS SHOWN! Some cosmetic wear. USA CUSTOMERS- NO MEANS TO TEST. However will offer a 14 day guarantee to try it out fully with right of return. INTERNATIONAL CUSTOMERS- NO MEANS TO TEST. And due to the cost to ship internationally and the distance items have to travel, ALL items shipped outside of the USA are SOLD AS IS WITH NO GUARANTEES. We love our international customers and would love to have your business but due to the nature of the used ... moreequipment, and that all items are untested and used, all sales are final. No refunds or returns, please bid accordingly! 1. All equipment is sold-as-is. We are surplus equipment dealers and do not have the ability or knowledge to test equipment for functionality beyond plugging it in and describing what we observe as best we can. 2. All statements regarding products and their configurations are made to the best of our ability and with the assumption that the buyer is knowledgeable and proficient in the use of this type of equipment. 3.We have no knowledge of the history of the equipment. Due to the nature of used and surplus equipment no guarantees or warranties either expressed or implied are offered unless specifically noted in the description. 4. NO REFUNDS OR RETURNS will be allowed. No exceptions unless offered in the description. 5. No manuals.NO STANDARD POWER CORDS, accessories, software etc are included unless pictured and noted in the description. 6. It is the bidder's responsibility to understand that the terms of the auction. The nature of equipment offered and bid accordingly. Shipping: BUYER TO PAY SHIPPING IN THE US. Alaska& Hawaii will be more! INTERNA
Electroglas Horizon 4085X Automatic Wafer Prober System 8", 5 Module, + Extras
Electroglas 4085X Horizon Wafer Prober System Unit is in excellent condition inside and out. System is ready for production use. Unit has had minimal use. Has been previously calibrated. There are some light scuffs/scratches on the exterior panels from being on production line. Very minimal and cosmetic only(see images) All PCB's show no signs of wear. Certificate of decontamination will be provided. Some components will be removed. Such as the monitor/light tower/cables/etc for shipping purposes. They will be wrapped and protected during shipping to ensure they are not damaged. Overall the system will arrive complete and ready to be installed for production use. Installed Components: 8" Chuck with Test head Lifter Assembly RMHM4 Controller DCM3 Display Control Module PCM Prober Control Module PRM3 Vivion Module Pneumatic Module ... moreOptem 25-81-22 HF Video Microscope Optem 28-90-77 Mounting Fixture Optem Illumination Supplies(QTY: 2) Panasonic GP-KS162CUD Camera Controller LCD Monitor Same Day Handling Time! Returns: Please contact directly if there is an issue with your order. Our goal is to make sure you are satisfied and we would like to resolve any issues you may have. We offer a 14 day return policy. If items are to be returned. Unit must be returned unopened in original packaging and without signs of abuse or damage. If item is opened or used and returned, it is subject to a 30% restocking fee and subject to approval. Items marked"for parts or not working" are not returnable due to product not functioning. Shipping is non-refundable unless due to an error on our part with your order. Return shipping to be paid by buyer. Please contact with additional questions. Additional Checkout Instructions: Buyer is aware that sale is as-is and that there is no warranty either expressed or implied by this seller. Any service or warranty issues will be handled through the manufacturer. Buyer has 60 days to for items to be claimed if returned by courier for non-deliverable shipments. Ships freight. We can p
ION SYSTEMS NILSTAT 5084(E)-CE 5084 91-5024-CER CONTROLLER FOR 5184 5284 EMITTER
YOU ARE BUYING ONE ION SYSTEMS NILSTAT 5084(E)CE 5084 91-5024-CER CONTROLLER FOR USE WITH SERIES 5184(e) 5284(e) EMITTERS. FOR MORE INFORMATION AND SPECIFICATIONS PLEASE SEE: THIS IS USED SHELF SURPLUS. REMOVED FROM A SHUT DOWN SOLAR PANEL MANUFACTURING FACILITY, AND IS GUARANTEED NOT D.O.A. POWER CORD IS INCLUDED. IF YOU HAVE ANY QUESTIONS PLEASE CALL 480-200-0156, OR HIT ASK THE SELLER A QUESTION. G1C) Estimated Retail Price: $758.00 International Buyers Please Note: Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying. We do not mark merchandise values below value or mark items as"gifts" US and International government ... moreregulations prohibit such behavior. Check out my! Be sure to add me to your! 560.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2B%3E560-14000f6ca2a-0x101-
Asyst Lam 853-04073-002 Enclosure Wafer
Item up for sale is a: Asyst Lam Research Part NO. 853-04073-002 Enclosure Wafer Manufacturing*Item looks to be in great cosmetic condition. Item is used and is untested other than what is indicated below: If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. ~18 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. ============================================================================ Terms of Sale Payment Options PayPal only or contact us for payment methods to pay on pickup. Bank transfers or payments using a Cashier’s Check may be available. Contact us for additional information ... moreand fees. RETURNS Warranty for Items shipped in the US 14-Day Right to Return- Buyer has 14 days to test item to determine its operational condition unless it was listed as Parts-Only or As-Is. Should the item be received non-operational you may return the item for refund of the purchase price. 14-day period starts the day you receive the item. You cannot return an item because it is not compatible with the system you installed the item into. Please insure that the item you are purchasing is compatible with the application or in the system you intend to use it. Warranty for Items shipped outside the United States All international sales shipped to a destination outside the US are non-refundable. All international sales are final. SHIPPING Shipping within the United States Buyer is responsible for all shipping costs including returns. Please note for domestic shipments(within US) we ship FedEx ground only. But you can use UPS ground shipping rates(calculated automatically by eBay) to estimate the shipping charges. Actual FedEx ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. Fed
Wafer Transfer Robot - PRI Automation ATM-104-1 - Equipe Brooks
Wafer Transfer Robot- PRI Automation ATM-104-1- Equipe Brooks Please refer closely to all photos before purchasing. Used. Appears to be in very good shape from our visual inspection. Removed by us from working decommissioned equipment that had been is storage for awhile. Equipe ATM-104 Serial# 17407 MFG. 04/00 Cables are included. We are offering a 14-Day not DOA Guarantee. Less shipping. Thanks for looking- we appreciate your business! Please check out on eBay. Please Read: Other than what's displayed in the images accompanying this listing or mentioned in the description above. There are no manuals, instructions, additional parts or accessories. Unless otherwise stated in the description. The images show the ACTUAL or an ACCURATE REPRESENTATION of item that you are bidding on. All images are photographed by us and are the exclusive ... moreproperty of TELEVISIONARY Communications and/or The Reuseum. Use of any material in this advertising is prohibited without express permission. Unless otherwise stated above. This item is sold strictly"AS IS, with no warranty. We TRULY& HONESTLY try to represent our auction items to the best of our abilities. Please understand that we are sometimes unfamiliar with an item. That said. You might notice times that the description is very brief or nonexistent. To compensate for our lack of expertise we start prices very low, with the intent that you might be interested in the item for its parts and AS IS condition. Please take this in consideration when bidding on our auctions. We try to take weekends off in order to preserve our marriages. If this auction is scheduled to end during the weekend. We'll be in contact with you on Monday. If you have technical questions, or need shipping quote information about this or any of our other auctions, please try to ask them by Friday morning. Any technical or shipping questions received over the weekend most likely will not be answered before the close of the auction. Shipping Information: Bidders outside the Continental U.S. inclu
Oxford Instruments 800 Plus DP PECVD
This offering is for a Used Oxford Instruments: 800 PLUS DP Plasma Enhanced Chemical Vapor Deposition Tool. 13.56 MHz driven parallel plate reactor, cooled electrode: shower head gas inlet optimised for RIE, high conductance vacuum layout, etch modes: 13.56 MHz driven parallel plate reactor, shower head gas inlet optimised for Heated substrate table, RIE/PECVD, Master 800 Plus with Slave 80 Plus possible, low footprint, fully clean room compatible, Oxford Plasmalab 800+ PECVD This offering is for a Nitride and Oxide Deposition Tool. Used for Batch Process,contains 18 inch heated Platen. RF Components: ENI: ACG- 5 XL. RF generator, 13.56MHZ, 500W ENI: LPG- 6A. RF generator, 90kHz to 460kHz, 600W ATX-600 impedence matching network. Gases Previously Used: N2O. N2, NH3 and SiH4. TEOS retrofit kit is included Roughing pump not included but available ... morefor purchase separately. Sold: as-is-where-is. No warranties expressed or implied. Shipping and Crating are not included and will be done under sepa rate cover. I can be reached by cell phone(east coast time zone) 856 520 0314 and mcgiver2@comcast.net Typical. Not actual. See Photo Gallery for item being sold.
Asyst CMSII 9700-5819-01 3 Channel Fan Controller with Cables
Asyst CMSII 9700-5819-01 3 Channel Fan Controller with Cables. Used unit in perfect condition. Cables shown in photos included. Cables are about 5 ft. long. Estimate manufactured date in 2003. Please contact us regarding international and multi-quantity shipping we are often able to find a better price than the quoted shipping price in the listing. For international shipments buyer is responsible for duties and tariffs. Local pickup in San Jose CA. available. Shipping Details: Shipping weight 8 lbs. Box dimensions: 16" x 12" x 8" Email: For new and used high-tech and industrial automation parts and systems visit us online at: Or. S.
VITRONICS 1336 NON-FOCUSED INFRARED THERMAL PROCESSING SYSTEM
YOU WILL GET VITRONICS 1336 NON-FOCUSED INFRARED THERMAL PROCESSING SYSTEM 6" belt. 36" hot zone. 1" clearance. 3 zones each top and bottom. 7' overall. Tested and all zones heated right up/ belt controls function/cooling fans both work. You may pick-up at no extra charge. I will crate and have ready for your freight co pick-up.I have commercial address also forklift to load. any questions please ask.
Cosel regulated 12 volt DC power supply PAA100F-12
12 volt regulated power supply 100- 240 volt input 8.5 amp output at 12 volts DC Used and guaranteed to work.
Buehler Ecomet 4 Variable Speed Grinder / Polisher Cat # 49-1775-160-U10
Unit powers on and seems to be in fine working order. Good used condition. Ready to go to work for you. Comes as pictured. No extra accessories.
Tylan FC-280-V Mass Flow Controller 5 SLPM, N2
Tylan FC280-V Mass Flow Controller, 5 SLPM N2. VCR type fittings NOT TESTED. 14 day right of return. Available for inspection. Quoted shipping price is for lower 48 states US customers only. Ships Fedx Ground. Call Logan Technologies at 254-773-4070 if you have any question.
CHA SEC 1000-32 High Vacuum Thin Film Deposition System (E-beam Gun)
CHA SEC 1000-32 High Vacuum Thin Film Deposition System with the following features& equipment: 32inch Diameter Stainless Steel Water Cooled Bell Jar Vacuum Chamber CTI-10 Cryopump and Compressor. And Edwards E2M Direct Drive Mechanical Pump CHA Auto Tech II System Valve Controller with Auto Bell Jar Hoist Option CHA Cryopump Regeneration Controller Granville Phillips 307 Dual Ionization Vacuum Pressure Gauge Controller CHA Substrate Heater(8KW) Power Supply. And CHA Thermal Resistance Deposition Source Substrate Quartz Lamp Heater Assemblies& Heater Temperature Controller CHA 5KVA Thermal Resistance Deposition Power Supply Temescal four(4) Pocket Electron Beam Gun Deposition Source CHA SR-10. 10KW Electron Beam Gun Power Supply with XY e-beam Sweep Control Inficon IC-5 Thin Film Deposition Process Controller(2each) Inficon Dual Crystal ... moreDeposition Thickness Sensor Heads- for Ebeam Source& Thermal Resistance Source Flat Plate Substrate Fixture(4 Holders) with Dual Axis Rotation& Substrate Rotation Speed Controller 2 3/4 inch Conflate Port for RGA Instrument. Buyer is responsible for all shipping charges and arrangements. Including Crating Fees. This is a very large unit, please call if you have any questions: 925)447-9136. Click here to browse my ebay store.
DISCO INVERTER DV-509M-1500
INPUT:200-220V 50/60HZ OUTPUT: CURRENT-8A 50~667HZ SER:ABKN-101 SHIPPING PRICE VARIES. WE DID NOT PERSONALLY PUT UP SHIPPTING PRICE IT WAS DONE AUTOMATICALLY, PLEASE KEEP IN MIND SHIPPING MAY ACTUALLY COST MORE THAN LISTED DUE TO THE WEIGHT AND DIMENSIONS OF THIS ITEM AND THE LOCATION IT IS GOING TO. BEFORE PURCHASING PLEASE SEND YOUR SHIPPING INFORMATION TO FIND OUT SHIPPING COST.
Complete Photolitography Laboratory
Complete photolithography lab. large mercury lamp, exposure frame. Screen exposure bag, screen washer, screen dryer, three industrial manual screen printers, clean air bench + know-how
MicroAssembly Technologies Model 6497 Semiautomatic Die Bonder
Lease& Rental- Asset Recovery- Repair- Parts- Referral Commissions- Trade-Ins 500 Central Ave. Farmingdale. NJ 07727 Ph: 732-919-3339 Fax: 732-919-3332 For questions regarding this item email us at: MicroAssembly Technologies Model 6497 Semiautomatic Die Bonder MicroAssembly Technologies Model 6497 Semiautomatic Die Bonder MAT– MicroAssembly Technologies. Ltd. Product Model 6497. Performs Flip Chip. MCM/Hybrid/COB, Silver Glass, Eutectic, Ultrasonic processes. Table top design including high accuracy features required for state of the art flip chip or. Chip and wire applications. Full software control. With programmable process. Parameters such as Forces. Velocities, times, Dispensing. Bond Line Thickness, Scrubbing, Ultrasonic. Vision, etc. Pick from 2" or 4" Waffle or Gel-Pak. Cold as well as high temperature processes under. ... moreInert gas cover. High Accuracy and Yield. Ideal for low to medium volume production. Services Offered: Option Upgrades! Lease& Rental Options. Extended Warranties. Service Contracts. Calibration Services. Repair services Parts sale. The Photo Featured Above May be a Stock Image This Auction ONLY Includes the Item Described in the Title Some photos in our listing are stock photos and not actual photos of the unit. You can still bid with confidence knowing that all our equipment is in good cosmetic condition. If you need actual photos of this unit feel free to contact us and we will send them to you. Express Test to Your My eBay Favorite Sellers List Express Test's other eBay auctions! Terms and Conditions. Options: Please contact us for the following options: Calibration. Extended warranty and service plans. Unless otherwise noted: If an item states parts. Needs repair, or as-is in the title and/or description it does not come with any ROR. Payment terms: We accept payment prior to shipping by Visa. MasterCard, AMEX, and Paypal(up to $5000) Businesses and Univer
Sumco 300mm Silicon Test Wafers 25 ct. Boat with Oxide
These Sumco 300mm Silicon Test Wafers are good. But there are oxides on the surfaces, thickness and resistivity are unknown. Part No: 300mm Silicon Test Wafer 300mm 12" Wafer 25 Wafers Per Boat Made in Japan Condition: Untested. Used Estimated Packed Shipping Dimensions: L x W x H = 18"x 18"x 18" 22 lbs Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 13 Can't find the ... moreanswer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may vary based on the packing requirements
Wafer Spinner Teflon Shroud with Servo Motor
Wafer Spinner Teflon Shroud with Servo Motor Please contact us for more information. Thanks for looking. PLEASE NOTE: INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES
$2 Million Daifuku Wafer Processing / Storage System with conveyor YAC, 16pallet
$2 Million Daifuku Wafer Processing/ Storage System with conveyor YAC. 16pallet Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Description: This is a $2 million original value unit made by Daifuku. We are not experts but were told it was a conveyor type system that would pick up and store wafer chips. Again. That is all the info we have. We know it has a large Daifuku CLS-50 II Stocker Rackmaster Unit(horizontally expandable) that has a turntable and weighs about 1200lbs. Hundreds of sensors made by Omron.many Vexta servo type motors. Panasonic Servo Motors, various other Omron, Keyence parts installed through all these units All of the ... moreunits are on 16 pallets in our warehouse located near Dallas. Texas. We welcome on-site inspect of these items. We have listed in pic form the list we were given of all items on these units. 245 FOUP Roller Conveyors by YAC Co Lts 4 FOUP(Front Opening Unified Pod) I/O Stations 20 Turntables 9 Position Units(OHT) Again. We are furnish this info for reference only and do not guarantee this list and we encourage inspection. We have provided a video and pics to give you a better idea of what is included. Please call or write us with questions. Etc. All reasonable offers will be considered. SHIPPING/HANDLING: PLEASE NOTE THAT ALL SHIPPING FEES LISTED ARE FOR THE 48 US STATES. IF YOU LIVE IN HAWAII. ALASKA, PR or US Territory- SHIPPING WILL BE MORE. Also APO address will be an extra charge. We ship using fedex home delivery(ground) US Mail and Fedex Smartpost. Smartpost is a combines of fedex and US mail. We use Smartpost for shipments that weigh between 1-7 lbs due to the excess costs to ship. Smartpost can take up to 14 days for delivery. If you prefer a faster shipping method, additional charges will apply and you must contact us immediately after purchase so we can ma
AMAT Rorze FABS-202 Wafer Transfer Elevator Lifter Assembly Type: RE120-002-001
AMAT Rorze FABS-202 Wafer Transfer Elevator Lifter Assembly Type: RE120-002-001 with RC-233. RC-023MS, RC-204A, RS-114A s/n E990813 Please contact us for more information. Thanks for looking. PLEASE NOTE: INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES
Lam RF Halo RF Interface PCB 810-034806-003 REV A
Lam Alliance 810-034806-003 Rev A PCB. RF HALO Interface. Untested. 14 day right of return. Board will ship Fedx ground. So please included your phone# Quoted shipping price is for lower 48 states US customers only. We'll ship worldwide. But shipping cost will be determined at the time of purchase. Logan Technologies. LP 254-760-2424
Belden 8268 Shielded RF Cable, 50 ohm, N type Conn, 54" Long, AE 600604-1
Belden 8268 50 ohm. Shielded RF Cable, N type connectors 54" long AE 13.56 MHZ Rf supply Advanced Energy# 600604-1 It will ship Fedx ground. So please provide a phone# Shipping price quoted is forlower 48 states US Customers only. Call Logan Technologies. 254-773-4070 Available for inspection.
Applied Materials TXZ Heater and process Kits
200mm TXZ Heater. And process kits such as shower head, ceramic lid liner, ceramic insert, outer shield, inner shield, Still cleaned and sealed. This also include plastic padded shipping container. Posted with
Applied Materials DXZ Chamber Body
This is a used DXZ Chamber Body. No heater or Complete lids are on the chamber. It has the lift assembly and dual Manometers and all the Hardware. This chamber was used in a Nitride Process. It came out of a R and D facility. Item is being sold as is where is Posted with
Laurell Spin Processor WS-400B-6NPP/Lite
You are viewing a used Laurell Spin Processor. Model is:WS-400B-6NPP/Lite. Does not come with any of the accessories. JUST WHAT YOU SEE IN THE PHOTO's that is all that we have. Unit is in good working condition. Comes with a 14 day warranty. Shipping is $60.00.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf54%3E-1400cb09c56-0xfc-
MICROVISION TSCHURR MVT 1080 WAFER LOADER MVT-1080
MICROVISION TSCHURR MVT 1080 WAFER LOADER MVT-1080 Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Description: MICROVISION MVT 1080 WAFER LOADER. WE OBTAINED FROM LARGE SEMICONDUCTOR COMPANY. UNIT POWERS UP AND WE TOOK PHOTOS OF SCREENS AS THEY APPEARS. We watched the arm move around and reference itself. We also watched the main stage go up then down. We are selling this unit as-is. Shipping/handling is $55.00 in US 48 states SEE OUR LISTING FOR THE LEICA INM20 microscope that came with this unit! ADDITIONAL INFORMATION: We are surplus dealers meaning we deal with many items over a wide range of categories. We do our best to describe each item but we are not experts on any one item or category. We try to picture all items we find with an item etc. If it is not pictured. In most cases ... moreit is not included. If you have questions. Please write us prior to bidding. Problems: If you have a problem with an item when you receive. Please write us or request our phone number through ebay's contact information and call us. We will work with you to resolve the issues. ABOUT US: The CEO of this company has over 14 years of experience selling on ebay and the internet. With more than $1 Million in internet sales. We have established our company as one of the premier surplus sites on the internet. Our products can be found on ebay, amazon and the web. Texas Equipment Brokers is a subsidiary of Interstateblue, Inc. Most recently, we acquired a long-time ebay company- Surplustexas- which offers a variety of products. We own and operate an internet website called interstateblue where you can purchase our exclusive BlackHawk Jr. headset along with the Blue Eagle II headset and any products we currently offer on ebay. This site offers customers the option to pay with any major credit card. Shipping: We ship each week on Tuesday and Thursday. We ship items utilizing UPS ground and USPS mail.
CUSTOM SPUTTER VACUUM BELL JAR VACUUM CHAMBER W/ CONTROLS AND MASS FLOW
SquareTr twflwar Custom Vacuum Chamber Sputter System. This system has a VAcuum Chamber. It comes with the pneumatic system. 2) mass flow controllers, pressure gauges and some electrical connections. This has a large platen inside, which I am assuming is an electrode. It was connected to an RF power supply, so it seems like it was used for surface modifications. We purchased it to use as an etch chamber, but another donation of a complete system rendered it not necessary for our use. PLEASE NOTE: This will require freight shipment. For a quote. Please provide us with a zip code, type of address(business of residential) and if a forklift or loading dock is on site. NOTE: This unit is being sold for a local University. All proceeds will benefit the Materials Engineering Department. There will be many listings of surplus from this University ... morein the coming days/weeks. Please stay tuned. Best of Luck! TERMS AND CONDITIONS: Description: WE WISH TO MAKE CLEAR TO POTENTIAL BUYERS THAT ALL ITEMS. NEW AND USED, ARE SOLD AS/IS. We do our best to describe each item accurately, pointing out any known flaws. It is the responsibility of the buyer to thoroughly read the description and to look at all the pictures. We welcome any and all questions and will try to RESPOND CLEARLY AND PROMPTLY. Ultimately, we want our buyers to be educated and HAPPY with their purchase(s) ACCEPTED PAYMENT METHODS: per ebay rules. We only accept PayPal payments. for some items. We will allow local pick-up. Please inquire. CASH ONLY for local pick-up. PAYMENT MUST BE MADE WITHIN FIVE(5) DAYS AFTER THE END OF AUCTION OR SALE. WE RESERVE THE RIGHT TO REFUND PAYMENTS FROM CUSTOMERS THAT ARE NOT COVERED BY THE PAYPAL SELLER PROTECTION POLICY. CONFIRMED ADDRESSES ONLY. PLEASE INQUIRE IF YOU HAVE ANY QUESTIONS.
Veeco 038464001 Magna Tran Wafer Transfer Seat Sealed in Argon
Manufacturer: Veeco, Part Number: Model: 038464001, Notes: Sold as-is. As pictured. Includes no additional cables. Cards or accessories beyond what is pictured. If you need any further information about this item. Please ask any questions prior to purchase. ims.22473 loc.95-A
TEMESCAL SIMBA II E-BEAM POWER SUPPLY COMPLETE 0040-6720-1
SquareTr twflwar Temescal Simba 2 E-beam Power Supply. Model Number 0040-6720-1. Excellent Condition. This is a working pull from a research tool used infrequently for the past 15 yrs. Proceeds benefit the Materials Engineeing Program. Includes Transformer as shown in the additional photos. PLEASE NOTE: This will require freight shipment. Please contact us for a quote. Best of Luck! TERMS AND CONDITIONS: Description: WE WISH TO MAKE CLEAR TO POTENTIAL BUYERS THAT ALL ITEMS. NEW AND USED, ARE SOLD AS/IS. We do our best to describe each item accurately, pointing out any known flaws. It is the responsibility of the buyer to thoroughly read the description and to look at all the pictures. We welcome any and all questions and will try to RESPOND CLEARLY AND PROMPTLY. Ultimately, we want our buyers to be educated and HAPPY with their purchase(s) ... moreACCEPTED PAYMENT METHODS: per ebay rules. We only accept PayPal payments. for some items. We will allow local pick-up. Please inquire. CASH ONLY for local pick-up. PAYMENT MUST BE MADE WITHIN FIVE(5) DAYS AFTER THE END OF AUCTION OR SALE. WE RESERVE THE RIGHT TO REFUND PAYMENTS FROM CUSTOMERS THAT ARE NOT COVERED BY THE PAYPAL SELLER PROTECTION POLICY. CONFIRMED ADDRESSES ONLY. PLEASE INQUIRE IF YOU HAVE ANY QUESTIONS. SHIPPING DETAILS for u.s. shipping we use either fedex ground or usps. WE WILL ONLY SHIP TO THE ADDRESS LISTED ON THE PAYPAL PAYMENT PAGE. our u.s. FLAT SHIPPING RATES ONLY APPLY TO THE 48 CONTIGUOUS STATES. Additional rates may apply to PO boxes. Hawaii and alaska. PLEASE INQUIRE if the actual shipping/handling cost is less than the quoted price in the listing. We will refund the difference. we are not in the busines
TEL Tokyo Electron C/S SMC-85A, P/N 381-639006-4
TEL Tokyo Electron SMC-85A P/N 381-639006-4 SMC-85A C/S Used. Untested 14 day right of return. Ships Fedx ground. So please included your phone# Quoted shipping price is for lower 48 states US customers only. Logan Technologies. LP 254-773-4070
TEL Tokyo Electron C/S SSMC-8A, P/N 381-639383-2
TEL Tokyo Electron SSMC-8A P/N 381-639383-2 SSMC-8A C/S Used. Untested 14 day right of return. Ships Fedx ground. So please included your phone# Quoted shipping price is for lower 48 states US customers only. Logan Technologies. LP 254-773-4070.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf54%3E-1400f411b5b-0x104-
TEL Tokyo Electron TVME-U10#2, P/N 381-6400994-2, Unit I/O
TEL Tokyo Electron TVME-U10#2 P/N 381-640994-2 Unit I/O Used. Untested 14 day right of return. Ships Fedx ground. So please included your phone# Quoted shipping price is for lower 48 states US customers only. Logan Technologies. LP 254-773-4070
TEL Tokyo Electron Spinner Unit Connection Board, 381-640481-1
TEL Tokyo Electron Spinner Connection Board P/N 381-640481-1 Used. Untested 14 day right of return. Ships Fedx ground. So please included your phone# Quoted shipping price is for lower 48 states US customers only. Logan Technologies. LP 254-773-4070
SSEC 156 Semiconductor Plate Cleaner Cleaning Solid State Equipment
For sale is a used SSEC 156 s/n 1518 Plate Cleaning Station. It comes with a manual. Comes with 2 controllers s/n 1506& 1492. Also comes with 2 scales. You get what you see in the photos only. That's about all we know. The unit was put in to storage in working condition and we bought it there. We have no history with this system or any like them. Sold as is as we can not and do not know how to test. We will wrap and secure to a pallet for shipping. Buyer pays for shipping. Thank you for looking
FUJIKIN DIAPHARAGM VALVE 316L-P 087681
USED- FUJIKIN DIAPHARAGM VALVE 316L-P 087681*IMPORTANT* PLEASE CORRECT YOUR PHONE NUMBERS IN EBAY SHIPPING ADDRESS PROFILE BEFORE YOU BID THIS ITEM. OR LET US HAVE YOUR CONTACT NUMBERS VIA E-MAIL FOR EASY AND FASTER DELIVERY OF WINNING ITEM(S) FREE SHPPING AND HANDLING FEE. CONDITION Being sold as is. SHIPPING Winning bidderr will get free Shipping and Handling. Normally it will take less than 10 working days to deliver to winning bidder. PLEASE LET US KNOW YOUR CONTACT NUMBERS VIA E-MAIL FOR EASY AND QUICK DELIVERY. OR CHANGE YOUR PERSONAL INFORMATION BEFORE YOU BID. PAYMENT Pament must be received prior to shipping by PAYPAL ONLY. RETURN POLICY Due to the nature of electronic components we are not able to offer a warranty or accept returns. Thanks for visiting! GY CLICK HERE! TO VISIT OUR STORE TO SEE MORE INTERESTING ITEMS. Powered by ... moreThe free listing tool. List your items fast and easy and manage your active items. Click Here. Double your traffic. Get Vendio Gallery- Now FREE! Powered by The free listing tool. List your items fast and easy and manage your active items.
MKS BARATRON ABSOLUTE CAPACITANCE MANOMETER 690A 690a13TRA 1000 TORR
MKS BARATRON ABSOLUTE CAPACITANCE MANOMETER 690A 690a13TRA 1000 TORR Description: Thank you for your interest! We are auctioning off this MKS BARATRON ABSOLUTE CAPACITANCE MANOMETER 690A. Acquired from government surplus. As you know with most government agencies. When a new grant comes in, they have to justify the grant by buying new equipment leaving very useful equipment for surplus. COMES AS SHOWN! Some cosmetic wear. USA CUSTOMERS- NO MEANS TO TEST. However will offer a 14 day guarantee to try it out fully with right of return. INTERNATIONAL CUSTOMERS- NO MEANS TO TEST. And due to the cost to ship internationally and the distance items have to travel, ALL items shipped outside of the USA are SOLD AS IS WITH NO GUARANTEES. We love our international customers and would love to have your business but due to the nature of the used equipment, ... moreand that all items are untested and used, all sales are final. No refunds or returns, please bid accordingly! 1. All equipment is sold-as-is. We are surplus equipment dealers and do not have the ability or knowledge to test equipment for functionality beyond plugging it in and describing what we observe as best we can. 2. All statements regarding products and their configurations are made to the best of our ability and with the assumption that the buyer is knowledgeable and proficient in the use of this type of equipment. 3.We have no knowledge of the history of the equipment. Due to the nature of used and surplus equipment no guarantees or warranties either expressed or implied are offered unless specifically noted in the description. 4. NO REFUNDS OR RETURNS will be allowed. No exceptions unless offered in the description. 5. No manuals.NO STANDARD POWER CORDS, accessories, software etc are included unless pictured and noted in the description. 6. It is the bidder's responsibility to understand that the terms of the auction. The nature of equipment offered and bid accordingly. Shipping: BUYER TO PAY SHIPPING IN THE US. Alaska& Hawaii will be more! INTERNATIONAL BID
VARIAN S Gun Power Control 660772
VARIAN S Gun Power Control 660772 This item powers-up ok but its untested and its being sold AS-IS.For Parts/Repair only,NO RETURN. Please read the following terms of sale carefully. These terms constitute a legally binding agreement( contract) between the seller and buyer. By bidding on. Or purchasing, the product posted in this eBay listing, the winning bidder agrees to and accepts all of the terms of sale stated below. TERMS OF SALE: Only what is shown in the eBay photo and mentioned in the listing is included. Anything else should be understood to not be included. For sale is for the eBay item only. No service or tech support are included, but if you have a question feel free to ask and we'll answer if we can. All sales are final. Please understand that this is an auction/liquidation environment, not a retail store, so make sure the ... moreitem is right for you, before bidding. PAYMENT: We accept payment by Paypal. And we only ship to the address which the buyer provides within the Paypal payment, no exceptions. If you would like to pay with a non-Paypal payment method. Please contact us before bidding to see if we can arrange something. Buyers are given 7 days from winning bid to pay. And payment is expected of all winning bidders If no payment is made within 7 days. An unpaid item reminder will be filed with eBay, and the buyer forfeits the auction win. We are required by state law to collect sales tax on all items shipping to a CA address. CA sales tax may be waived at our discretion. But only with a valid CA resale certificate- please contact us for resale exception*before* sending payment. DOMESTIC(US) SHIPPING: Local pickup is normally not available; exceptions are sometimes made. But only for higher-value orders. Please contact us first to see if we can make this arrangement for you, don't assume that we will be able to. We charge flat-rate shipping. And there is no extra cost to ship to AK, HI, PR, or PO boxes, these are all fine. Shipping cost includes packaging cost including time and materials. An
Nikon 4S007-843-F PCB EX-AIS Used Working
This Nikon 4S007-843-F is used working surplus. The physical condition is good. But there are some minor scratches from previous use and handling. Part No: 4S007-843-F EX-AIS Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 6"x6"x6" 4 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 12 Can't find the answer you're ... morelooking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may vary based on the packing requirements. So please al
Asyst 300 mm EFEM Wafer Handler SMIF-300FL
Asyst 300 mm EFEM wafer handling system. Previously part of an Applied Materials Inspection tool. Tool was recently taken off the line. System includes pre-aligner. Load ports, end effector, etc. Full manufacturer manuals and documentation provided. ag14.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*bd%3Eag14-14016067686-0xff-
Brooks Automation Equipe FPD-411-2/FPD-3197 Wafer Transfer with cables
Brooks Automation Equipe FPD-411-2/FPD-3197 Wafer Transfer with cables. Used unit. Unit looks cosmetically good has scratches on the case visible in the photos. Approximate unit dimensions: 31 3/4" x 20" x 20" Approximate unit weight: 100 lbs. See our other Wafer robot equipment& parts at the webpage below: Please contact us regarding international and multi-quantity shipping we are often able to find a better price than the quoted shipping price in the listing. For international shipments buyer is responsible for duties and tariffs. Local pickup in San Jose CA. available. Shipping Details: Shipping weight 120 lbs. Box dimensions 36" x 24" x 24" Powered by The free listing tool. List your items fast and easy and manage your active items.
SVG Series 8600 Coat-Bake/Develop-Bake System
Fully refurbished to like or better than new condition by SVG experts. We can configure for wafer size. Includes one photoresist pump and four 5-gallon stainless steel canisters. Comes with free installation and training. Buyer pays travel and living expenses. 6 month warranty included. 4 systems available.
MKS PRESSURE TRANSDUCER MODEL 128 2 TORR- 128aa-00001a-sp035-81 - a
MKS PRESSURE TRANSDUCER MODEL 128 2 TORR- 128aa-00001a-sp035-81- a Description: Thank you for your interest! We are auctioning off this MKS PRESSURE TRANSDUCER MODEL 128 2 TORR- 128aa-00001a-sp035-81- a. Acquired from government surplus. As you know with most government agencies. When a new grant comes in, they have to justify the grant by buying new equipment leaving very useful equipment for surplus. COMES AS SHOWN! Cosmetic wear. USA CUSTOMERS- NO MEANS TO TEST. However will offer a 14 day guarantee to try it out fully with right of return. INTERNATIONAL CUSTOMERS- NO MEANS TO TEST. And due to the cost to ship internationally and the distance items have to travel, ALL items shipped outside of the USA are SOLD AS IS WITH NO GUARANTEES. We love our international customers and would love to have your business but due to the nature of the ... moreused equipment, and that all items are untested and used, all sales are final. No refunds or returns, please bid accordingly! 1. All equipment is sold-as-is. We are surplus equipment dealers and do not have the ability or knowledge to test equipment for functionality beyond plugging it in and describing what we observe as best we can. 2. All statements regarding products and their configurations are made to the best of our ability and with the assumption that the buyer is knowledgeable and proficient in the use of this type of equipment. 3.We have no knowledge of the history of the equipment. Due to the nature of used and surplus equipment no guarantees or warranties either expressed or implied are offered unless specifically noted in the description. 4. NO REFUNDS OR RETURNS will be allowed. No exceptions unless offered in the description. 5. No manuals.NO STANDARD POWER CORDS, accessories, software etc are included unless pictured and noted in the description. 6. It is the bidder's responsibility to understand that the terms of the auction. The nature of equipment offered and bid accordingly. Shipping: BUYER TO PAY SHIPPING IN THE US. Alaska& Hawaii will be more! INTERN
Ebara FREX 200 WCMP Polishing heads *USED*
Used Ebara FREX 200 WCMP heads*USED* sold with locking metal box as from the MFR
Dynatex International Wafer Cutter/Scriber
Dynatex International Wafer Cutter/Scriber I am selling this unit as is. It has been modified to accept a fiber light through the top panel for substrate lighting. The unit powers up and seems to be operational however I do not have the computer system that controls the unit to test it. Internally. Very clean and no signs of burned or damaged circuitry. The vacuum pump does turn on at power up. Externally the unit looks good with a few small scuffs and scratches but otherwise in good shape. Would be a perfect spare or parts unit for the Semi-conductor fab shop. I believe this is a 4" wafer stage. These units sold for nearly 30K new so the lucky bidder will get quite the deal working or not. Please contact me for further details. Thank you for shopping. ~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~*~* Thank ... moreyou very much for viewing our item! We want all our customers to know that we do our best to ensure that we list our items accurately. With details and with quality images so you the buyer gets all the information possible about the product. However if you have questions about this item please feel free to contact us at any time. We'd be happy to help answer your questions. Thank you again for viewing this item. TERMS and SHIPPING ALL BUYERS: We accept PayPal only. If you are the winning bidder. Please submit your payment through PayPal only. Please submit payment within 48 hours from auction end time and date. The buyer must arrange for shipping after we have received payment for the item. Once payment has been received, we will notify the buyer that the item shipment may be arranged. The buyer will be responsible for all shipping arrangements, costs and insurance if needed. Package pickup will be arranged at the specified location above.
Tencor 4000 Surfscan 4000 Wafer Inspection System
Normal 0 false false false EN-US X-NONE X-NONE Normal 0 false false false EN-US X-NONE X-NONE Product Description This Tencor Surfscan 4000 wafer inspection station is offered for sale. Capable of 2"6" wafers. Substrate Thickness: Semi standard thickness. Material Type: In the most sensitive range. Any opaque, polished surface that scatters less than 0.25% of incident collimated light averaged over the substrate. High angle optics. Unit was pulled from a research lab but we do not have the ability to test it at our facility. Please contact us with any questions you have. Normal 0 false false false EN-US X-NONE X-NONE Inventory SKU# 28856"We have listed this item as freight due to its weight. There will be freight and handling charges. Feel free to make arrangements yourself or. If you prefer, our experienced staff will help ... moreprovide a quote. Please feel free to contact us at 781-871-8868" To our international customers: We only take Paypal if you are verified. If not you must send a wire transfer and there is an additional $35 usd fee. Import duties. Taxes, and charges are not included in the item price or shipping cost. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying. Customs fees are normally charged by the shipping company or collected when you pick the item up. These fees are not additional shipping charges. We won't under-value merchandise or mark the item as a gift on customs forms. Doing that is against U.S. and international laws. PLEASE NOTE We are selling this item as pictured. Any parts or accessories not displayed in the photo are not included in this sale. Please review both the picture and description. Feel free to ask questions and request additional information prior to purchase. All sales are final. Thank you for visiting our BMI Surplus. Inc. Store
IDI 300 controller Integraded Designs inc
This is a model 300 controller for IDI resist pumps and the control boards and pumps are sold seperatly.
SQUARE D 992330 30 Amp 3 Pole 240 Volt Circuit Breaker 3P 30A 240V 992 330
Molded Case Circuit Breaker Catalog Number: 992330 Number of Poles: 3 Amperage: 30 Voltage: 240 Connection: Bolt-On ☺If order is placed before 4:00 PM EST Monday-Friday- item will ship same day! ☺ ϟ Contact Central Breaker and Control today@ 508)731-6181 to ask about our inventory. We have a 16.000 ft² facility containing thousands of products from top-of-the-line manufacturers. In-stock inventory includes but is not limited to circuit breakers. Bus plugs, starters, panel boards, safety switches, motor controls, and transformers ϟ
Lam Research 853-000577R001 Phase & Mag Detector Assy LRC Autoetch 490/590
This is a phase& magnitude detector assy for a LRC autoetch plasma etcher. This has been refurbished by Lam Research and is still in the unopened packaging. 336.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3E336-140270a99a9-0x101-
OFFER & WIN- MITSUBISHI FX-4AD Programmable Controller
OFFER& WIN WE GUARANTEE THE LOWEST PRICES If You Find This Item At Lower Prices Than Ours, We Promise To Sell It Cheaper Than That Which You Have Found. ITEM FOR SALE: MITSUBISHI FX-4AD Programmable Controller USED Normal 0 21 false false false PL X-NONE X-NONE MicrosoftInternetExplorer4 You have 7 days booting warranty from time you receive the device to check if it is fully functional. PLEASE DO NOT ASK US TO LOW-DOWN THE VALUE OF THE INVOICE, IT IS AGAINST OUR POLICY. IMPORTANT! PLEASE OPEN PACKAGE IN THE PRESENCE OF THE CARRIER/TRANSPORTER TO MAKE SURE THAT THE ITEM IS OK AND IS NOT CRUSHED. OTHER WAY WE WILL NOT PAY FOR ANY DAMAGES. If the device does not work please inform us about it. There is always a positive way of finishing the transaction before you leave a negative or neutral feedback. Please be assured that when You buy ... moremore than one item they might not look the same as the one in the picture SHIPMENT All items will be shipped by UPS courier. Typical costs are: Items to Europe(apart from Andorra. Liechtenstein, Norway, San Marino, Switzerland, Guernsey, Jersey) will be shipped by UPS: 35 $ Items to North America will be shipped by registered priority airmail: 65$ Customers from South and Middle America. Asia, Africa, Australia and Oceania and countries mentioned above: Please send me Your zip code so I could tell You the price of the shipment. If you have any questions. Please write or call. Contact with me: Mobile: +48 880 858 387 Phone: +48 15 842 36 35 Fax: +48 15 642 76 98 E-mail: mt.agat@gmail.com
Corning Tropel Vacuum Wafer Positioning/Holding Plate Semicond. Manufacturing
Here is a Corning Tropel Vacuum Wafer Positioning/Holding Plate. It is guaranteed to be in fine working order and good cosmetic condition with minor signs of use. As shown in the photos. Only the Corning Tropel Vacuum Wafer Positioning/Holding Plates in original case shwon is included in this auction. No other parts or devices. See our ebay store for more great deals. Many loads. Attenuators, cables, manuals and other equipment available. Click here to Be sure to sign up for our Ebay Newsletter under the'Email Subscriptions' heading for special ebay-only offers. Questions/ Contact We are available 8.30 am to 5.00 pm Eastern Time and respond quickly to all email questions. Can't wait for an email? Call us at 410 871 2273 You can fax payment information to us at 410 871 1255 Our Mailing Address: Ridge Equipment Company. Inc 2002 ... moreBethel Road Suite 105 Finksburg. MD 21048 Payment We accept American Express/ Visa/ Master Card/ Discover Directly. Or. Pay me securely with any major credit card through PayPal! Shipping 95% of our packages ship within 1 day! Shipping is calculated based on buyer's location using eBay's built in shipping calculator. We will combine shipping for multiple items. The calculated price includes a packaging charge to help cover the cost of foam-in-place packaging. We use this system for maximum protection when shipping electronic equipment. These images copyright Sealed Air Corporation Overseas Bidders: Click here for our Be aware that many of our packages are too heavy to ship with the USPS. These must be shipped via UPS International. Return Policy We always accept returns if the item is not as stated. Returns are handled as repair. Replacement, or refund at our discretion. We work with our customers to bring any problems to an acceptable resolution. 100% customer satisfaction is our goal. 95% of our boxes are shipped within 1 day of receipt of payment. We respond promptly to all email. We are also available by phone from 8.30am to 5.00pm Eastern Time. We accept all major C
Branson/IPC 4055 Plasma Surface Treatment system
THIS IS A BRANSON/ICP 4055/2 PLASMA TREATMENT SYSTEM SERIEAL M91243 LOOKS IN GOOD CONDITION I DO NOT HAVE A WAY TO PROPERLY TEST IT CAME OUT OF A WORKING ENVIRONMENT. Shipping on this item is 250.00 to the lower 48 states. If you are from overseas or Alaska or Hawaii please email me for a shipping quote. Please understand if it is going out of the country it can take between 3 and 6 weeks depending on customs. If it is not shown in the picture then it probably does not come with the item please email me if you have a question before purchasing. Paypal Is accepted. All Items will be shipped out within 48 hours of purchase if it is a item that must be palletized I need a commercial address and phone number. If you have any problems with the item please email us so we can work the problem out. Thank You For Looking At Are Item. 5v.RcmdId ViewItemDescV4,RlogId ... morep4%60bo7%60jtb9%3Fuk%601d72f%2Bkw72shq%3E5v-1402a928c47-0xf6-
Fluoroware E-124-60 Robox 6” Silicon Wafer Shipping Containers (with latches)
Fluoroware E-124-60 Robox 6” Silicon Wafer Shipping Containers(with latches) Color: Black. Manufacturer: Fluoroware. Item/Ship Weight: est. 3 LBS. Item: Used; light cosmetic marks on cover. Price: $45.00 each or 6 carriers for $225.00. Shipping: Domestic rates; contact us for international shipping.
Vintage Jewelers Gem Facetor - Gem Making Lapidary Faceting Machine
Here is a vintage aluminum gem faceting machine with no mfg. name on it. It needs a motor to run. The plate turns freely and is 9" in diameter. The last photo was taken from a 1963 issue of The Mineralogist Magazine showing what it looked like in its original state. Thanks for looking!
Entegris Fluoroware A182-60MB PFA Wafer Cassette 6" 150mm
You are bidding on a Entegris Fluoroware PFA high purity wafer cassette part number A182-60MB. Holds 25 each 150 mm wafers. Excellent condition. Please ask questions if you have them. I accept Paypal only or cash if its a local pick up. I usually ship next day after payment is received or in some cases same day if time allows. Please US bidders only. I will NOT ship out of the country. Payments must be received within 5 days of close of auction. Happy bidding and thanks for looking. If you are a new bidder and you have ZERO feedback you must contact me first with intentions to bid or your bid/bids will be cancelled immediately.
TEL Tokyo Electron AC Servo Motor Control Board, 381-639178-1
TEL Tokyo Electron Servo Motor Control Board MS SPV-0 P P/N 381-639178-1 Used. Untested 14 day right of return. Ships Fedx ground. So please included your phone# Quoted shipping price is for lower 48 states US customers only. Logan Technologies. LP 254-773-4070
TEL Tokyo Electron SBC-S1/016, Monitor System, 381-639506-4
TEL Tokyo Electron SBC-S1/016 System Monitor PCB P/N 381-639506-4 Used. Untested 14 day right of return. Ships Fedx ground. So please included your phone# Quoted shipping price is for lower 48 states US customers only. Logan Technologies. LP 254-773-4070
TEL Tokyo Electron SMC-C85A, 381-639006-4
TEL Tokyo Electron SMC-C85A P/N 381-639006-4 Used. Untested 14 day right of return. Ships Fedx ground. So please included your phone# Quoted shipping price is for lower 48 states US customers only. Logan Technologies. LP 254-773-4070
2 Each, Empak 130mm 25 Slot Wafer Handler Carrier F10130-06
2 Each. Empak 130mm 25 Slot Wafer Handler Carrier F10130-06 Please refer closely to all photos before purchasing. Used. Wafer Handler Carrier by Empak. Model F10130-06, 130 mm size, 25 Slot, Removeable top and bottom. These units are in good condition. They have seen some use, but have no cracks. Thanks for looking- we appreciate your business! Please check out on eBay. Please Read: Other than what's displayed in the images accompanying this listing or mentioned in the description above. There are no manuals, instructions, additional parts or accessories. Unless otherwise stated in the description. The images show the ACTUAL or an ACCURATE REPRESENTATION of item that you are bidding on. All images are photographed by us and are the exclusive property of TELEVISIONARY Communications and/or The Reuseum. Use of any material in this advertising ... moreis prohibited without express permission. Unless otherwise stated above. This item is sold strictly"AS IS, with no warranty. We TRULY& HONESTLY try to represent our auction items to the best of our abilities. Please understand that we are sometimes unfamiliar with an item. That said. You might notice times that the description is very brief or nonexistent. To compensate for our lack of expertise we start prices very low, with the intent that you might be interested in the item for its parts and AS IS condition. Please take this in consideration when bidding on our auctions. We try to take weekends off in order to preserve our marriages. If this auction is scheduled to end during the weekend. We'll be in contact with you on Monday. If you have technical questions, or need shipping quote information about this or any of our other auctions, please try to ask them by Friday morning. Any technical or shipping questions received over the weekend most likely will not be answered before the close of the auction. Shipping Information: Bidders outside the Continental U.S. including bidders in Alaska. Hawaii, Puerto Rico& our friends in Canada) MUST contact us to det
Headway Research Photoresist Wafer Spinner
Headway Research Photoresist Wafer Spinner ITEM DESCRIPTION: Headway Research Photoresist/Wafer spinner. No knowledge of operation. Came with other items on a pallet. Spinner bowl measures about 5 1/4" across. Capable of spinning objects up to 10,000rpm. Cable appears in good condition. A vacuum source is required. Unit is in fair overall physical condition. Acquired from government surplus. As you know with most government agencies. When a new grant comes in, they have to justify the grant by buying new equipment leaving very useful equipment for surplus. For cosmetic condition. Wear and markings see pictures. We have been selling surplus equipment for many years. We have not used most of our items personally. We have many sources where we acquire inventory. We do our best in our professional subjective manner to classify and describe ... morethe item accurately. Due to the diversity and volume of products we deal with we cannot be experts with every item we sell. We try our best. Local inspection is encouraged before purchase. We ship exactly as described and pictured in the auction. If manuals, software, original packaging, etc. are not shown in pictures or mentioned, they are not included. Thank you for looking!
MDC, 723017, Elbows - 90 Degree ~ NEW
Part Number: 723017 You are bidding on this new. MDC, Part No. 723017. This is a Hardware- Centering Rings- Kwik Flange, Viton, SS. Please if you have any questions about this item or any of the many others we have listed just let us know. Part Details: MODEL REFERENCE: REF# K100-2L PART NUMBER: 723017 FLANGE ISO REF. NW 25 FLANGE O.D. 1.57 TUBE SIZE: 1 BEND TYPE: RADIUS TUBE O.D: 1.00 TUBE WALL: 065 A: 1.67 Compass Bay Communications ships worldwide(US and International) We will ship within three business days after payment is received. All shipments with sales totaling in excess of $1000.00 will be insured for 70¢ on the dollar by us. Buyer pays all shipping charges. For international shipping. Customer also pays duties and taxes. This item will require shipment via a freight carrier due to either weight or dimensions. Please contact ... moreus for a shipping quote prior to bid or purchase. You are welcome to make your own shipping arrangements but there will be a $50.00 fee to cover the labor and materials required to properly package the item for shipment. We can not ship to a PO Box. We do however welcome pickups. Compass Bay Communications will accept, Payment must be received within 5 days of auction closing. We do not accept C.O.D. Compass Bay Communications provides a 30 day warranty on most new and used equipment. If for some reason an auction is sold"AS-IS" Then it will be explicitly stated in auction. CompassBay provides superior web-based auction solutions for your excess merchandise and business asset management. Whether it's obsolete inventory. Product returns, sporting goods, apparel, or consumer electronics, CompassBay will craft an eBay auction suited to your merchandise. We list new items every day. So be sure to check out our Current Auctions page frequently! Thank you for checking out Compass Bay auctions! Powered by Powered by The free listing tool. List your items fast and easy and manage your active items. Powered by The free listing tool. List your items fast and easy and manag
Fusion 200A Ozone Asher
Fusion 200A Ozone Asher. Good working condition. Currently installed in lab. System is sold as is where is. Buyer is covers all cost associated with shipping and crating system.
2783 Chemical Delivery System & 25lbs. Kidde Fenwal CO(2) Cylinder
You are Buying a Chemical Delivery System& 25lbs. Kidde Fenwal CO(2) Cylinder Used. Excellent Condition. Sold As. Is. Chemical Delivery System& 25lbs. CO2 Cylinder Description: Cabinet Size: 35” x 22” x 16” 1x 25 lbs. Kidde Fenwal CO(2) Cylinder Cat. 79255 Empty Weight: 52.9 lbs. Full Weight: 77.9 lbs. 1x Kidde Fenwal Electrical Control Head P/N: 890181 24V 2.0A 2783 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading ... moredock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge for freight will be determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
2762 Gas Delivery Cabinet. 18 1/2” x 11 1/2” x 13 1/2”
You are Buying a Gas Delivery Cabinet. 18 1/2” x 11 1/2” x 13 1/2” Used. Excellent Condition. Sold As Is. Gas Delivery Cabinet Dimensions: 181/2” x 111/2” x 131/2” W: 42lbs. 2762 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge for freight will be determinate by the destination upon the ending ... moreof the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
MGI Electronics- Phoenix V10 Solar Cell Transfer System
MGI Electronics- Phoenix V10 Solar Cell Transfer System DESCRIPTION MGI Electronics Phoenix V10 Solar Cell Transfer System Product Condition: USED Specifications: Phoenix V10 Solar Cell Transfer System Visit manufacturer link below for complete product specifications: Local Pickups Local pickup is available for all items Monday thru Friday 8:30am- 4:30pm Domestic Shipments Domestic shipments are sent via UPS or USPS Priority Service. We choose the fastest most economic shipping method based on a items size. Weight, and value ratio. Items sent to Alaska& Hawaii are subject to additional charges. International Shipments We ship to all international countries. International shipments are sent USPS International Priority unless otherwise requested. Contact us for international shipping quotes. Freight Shipments Items requiring freight will ... morebe securely strapped to a pallet and loaded at no additional charge. Carriers assess an extra charge if delivery is made to residential area and/or if the service of a lift gate are required. Rigging charges may apply to items requiring special loading/shipping requirements. Your satisfaction is our highest priority! To the best of our knowledge the item is in perfect working condition. We do our very best to describe items as accurately as possible and in no way would misrepresent or knowingly sell an item that was not working. But since this item is used and at times. We do not know the history of an item, all items are sold"AS-IS" No refunds, returns, or exchanges will be given. Please contact us with any and all questions as your satisfaction is our highest priority.
Semix TS6132U Coat/Bake
Semix TS6132U. Currently installed in lab. Sold as is where is. buyer is responsible for crating and shipping. Purchased new in 1990 for $216,000.
Matrix 103 Asher
Matrix 103 Asher. Good condition for sale where is as is. buyer responsible for crating and shipping cost.
UTI POWER LOGICON Model 5C- 2 Channel
NICE CONDITION. USED STILL POWERES ON. POWER LOGICON UTI 2 CHANNEL NIDEL 5C-2_ We are a very diverse surplus dealer in Charlotte. North Carolina, called Interstate Surplus. We buy and sell all types of Industrial Surplus& Hardware related items. Interstate Surplus has been in business since 1988. For questions on this product or for shipping/combined shipping prices or customer service call(704) 827-6449. BOTH THE BUYER& SELLER CAN RECEIVE POSITIVE FEEDBACK. PLEASE READ THE AUCTION CAREFULLY AND ASK ANY QUESTIONS BEFORE BIDDING. Pictures are always the exact product you will receive. If problem occurs please contact us before leaving feedback. SHIPPING ON ITEMS VARRY AS WE USE UPS AND USPS WITH ACCURATE DIMENSIONS BOX/PADDING AND WEIGHT. WE ALSO DO COMBINED SHIPPING. These industrial surplus items were spare inventory for equipment ... morethat was sold or decommissioned. You can assume that new items will appear to be new and perfectly usable but the condition of the boxes are usually crushed or non-existent. Don't always expect the packaging to look like it just came out of the factory. Look closely at the photos included with the auction. I try and specify the details as much as possible but if you have any questions please ask. You can combine auctions to save on shipping. Thanks for looking and check out my other auctions for more industrial items. KSM
Headway Research SC80 Wafer Spin Cleaner - Came out Working
Headway Research Inc. Model: SC80 Wafer Spin Cleaner Item came out working and in good condition. Note: I can't seem to find the Footswitch. But If I do, I will edit the listing to let you know. The Footswitch shown in the pictures is just for power. So I don't know if it will work for you or not. If unhappy upon receipt. Return for a Full Refund. I am selling it at a third of the going rate because I am seriously running out of room. I also have a parts unit of this exact item. If anyone is interested. Please contact me for more information. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2Bf7%60%3E-14031775f11-0x100-
TEL Alpha 8S Controller Cage PCB's ,TS-4000Z-17, Vertical Furnace 1187-098043-14
TEL Alpha 8S Controller Backplane and card cage TS-4000Z-17 complete with all PCB's 1187-098043-14 From a working defacilitized TEL Alpha 8S Vertical Furnace. Will expedite shipment if necessary. Will combine on shipping. Be sure to let us know what you need. Sales in Texas must include 8.25% sales tax or provide a copy of a resale certificate. We can use your FedEx. UPS or other account to ship if you provide an account number. We prefer shipping overseas using FedEx International Economy. Please contact us for shipping rates overseas.
Brooks/PRI Automation PRE-300 Atmospheric PreAligner
The Pre-aligner is an optional component in a robotic system. Which includes a robot, either single-arm or dual-arm, a Brooks Automation Controller and, optionally, a track, a flipper, laser scanner, or front-end loader. In addition, the robotic system might include one or more customer components. The unit features high resolution optical sensing with Charged Couple Device(CCD) pixel resolution of 10.7 microns. High-precision mechanics and chuck-encoder resolution of 0.018× ensure consistent performance and repeatability. A uniform light source provides optimal illumination of the CCD sensors. Unable to test this unit. So selling as-is with 30 day return policy. Printed manual will be included. 30-day returns accepted for any reason. If there is anything wrong with the item. Please contact us first. We can resolve any issue promptly.
DRYDEN Surface Particle Detector QIII DE3496SPD W/ Air Filter DE712AF-5
DRYDEN Surface Particle Detector QIII DE3496SPD W/ Air Filter DE712AF-5 Condition:In Good Working. Item Contents:What is shown. Please see the pictures for details This auction only comes with what is pictured below. Accessories. Cables, software etc. are not included unless pictured. Please make sure your shipping address& PHONE# is correct. Shipment After payment has been cleared. We ship the item in 1~2 business days Normally delivery takes 3~5 business days if you need an insurance for the item please inform us with buying the item. And it will be charged an additional fee. Customs& Duties We are not responsible for any applicable customs charge. Taxes& duties.
Verteq Frequency Generator M-002-05
Verteq Frequency Generator M-002-05 used BUY IT NOW DESCRIPTION: This is a Verteq Frequency Generator Model M-002-05. Unit is very clean and appears to be in good working condition. We also have a Verteq St800 Power Supply- check our e-Bay Store. Please feel free to call our store at(845)338-6191 if you have any questions. INCLUDES: Verteq Frequency Generator Model M-002-05 used SHIPPING: This item ships by USPS Priority Mail Service. flat fee cost is $15.00 for Continental U.S. FAST SHIPPING! Item ships out day after payment is received. Will arrive in 2-4 business days. International shipping is automatically calculated by E-bay's shipping calculator. Multiple item discount available for this item. PAYMENT: PayPal is preferred payment method. WARRANTY: 7 Day full-refund Warranty THANK YOU& GOOD LUCK BIDDING! Item# PT354 P&T ... moreSurplus Corp.
TEL Alpha 8S Alarm Monitor w/5 Omron E5CK-RR1 Digital Controllers EWS15-5 Supply
TEL Alpha 8S Alarm Monitor Complete with F ive Omron E5CK-RR1 Digital Controllers Protecte d Under Plastic Shield in Like New Condition EWS15-5 5 Volt 3 Amp Power Supply and Two Relays Along With Other Misc Parts From a working defacilitized TEL Alpha 8S Vertical Furnace. Will expedite shipment if necessary. Will combine on shipping. Be sure to let us know what you need. Sales in Texas must include 8.25% sales tax or provide a copy of a resale certificate. We can use your FedEx. UPS or other account to ship if you provide an account number. We prefer shipping overseas using FedEx International Economy. Please contact us for shipping rates overseas.
TEL Alpha 8S, HSDL-VLV-I/F, 2187-055522-17, Xilinx Controller w/ C22332 Firmware
TEL Alpha 8S. HSDL-VLV-I/F, 2187-055522-17 Xilinx Controller with C22332 V11 Firmware Dated 5-8-97 From a working defacilitized TEL Alpha 8S Vertical Furnace. Will expedite shipment if necessary. Will combine on shipping. Be sure to let us know what you need. Sales in Texas must include 8.25% sales tax or provide a copy of a resale certificate. We can use your FedEx. UPS or other account to ship if you provide an account number. We prefer shipping overseas using FedEx International Economy. Please contact us for shipping rates overseas.