Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
125.00
Buy It Now
$12.50 Shipping
Condition: New
Location: San Jose, United States
Model: 1404. Fitting 1/2" NPT.
$
250.00
Buy It Now
$10.00 Shipping
Condition: Used
Location: Roseville, United States
PCB Wafer Loss Board. 1 Omron E35-BD11 Photoelectric Switch w custom plastic housing, ten available. One board in this sale but we have 16 more available.
$
125.00
Buy It Now
Free Shipping
Condition: Used
Location: Houston, United States
Search our eBay Store! Boonton Electronics Model 72BD Digital Capacitance Meter w/ 72-4B Adapter SKU: JV-MAT-B-BOONTON72BD Condition: Used Packaging: ... moreOEM Warranty: 30 day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are only included if pictured or listed in description. If in doubt, please contact us before purchasing. Click an Image to Enlarge Boonton Electronics Model 72BD Digital Capacitance Meter w/ 72-4B Adapter Model: 72BD Physical Condition: Good. Minor scuffs/scratches/sticker residue and signs of use. Functionality/Degree of Testing: Unit powers on with indicator lights. Display is functional but one of the LEDs does not illuminate. Controls are responsive. We do not have the necessary resources to further test this unit. Power cable is not included. Dimensions(L" x W" x H" 13.75*8.25*6 All parts. Including accessories and cables are only in cluded if pictured or listed in the description. All pictures are taken in house. If you require more information. Please contact the manufacturer and/or research online. As a large liquidator, we do not carry additional information beyond what has been provided to us above. This FDA DISCLAIMER is for MEDICAL DEVICES only and not for all our products: The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. Our Contact: SVC ASSET MANAGEMENT. INC. 1096 PECTEN CT MILPITAS. CA 95035 Open Monday through Friday 10AM-6PM PST(California) 1(877)757-3863 If you're dissatisfied with your purchase. Please contact us before leaving feedback or opening a case.
$
492.95
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Part No: F4291002. The physical condition is great. SHIELD,SLIT,GRAPHITE,LONG.
$
3510.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: TAS300. The physical condition is good, but there are signs of previous use and handling. Model No: Type G1A.
$
449.00
Buy It Now
$14.00 Shipping
Condition: New
Location: Petaluma, United States
For nitrogen Gas. The most important part of a sale is getting the product to you in good condition.
$
14002.27
Buy It Now
Condition: Used
Location: Albuquerque, United States
This Camtek Falcon 200 is used untested surplus and is being sold as-is. The physical condition is good and there are visible signs of previous use and ... morehandling. Part No: Falcon 200. Untested As-Is.
$
1206.23
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This AMAT Applied Materials 0200-35389 195mm Single Ring ESC Poly Flat is new surplus. The physical condition is great. Serial numbers or country of manufacture ... moremay vary.
$
128.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: SB300. Serial numbers or country of manufacture may vary.
$
37.50
Buy It Now
$12.45 Shipping
Condition: Used
Location: Cotati, United States
Leybold Heraeus Inficon 205-350-E. We removed these Inficon IC 6000 spares from a shut down process facility. IC 6000 System I/O Option Plug-In Card. ... moreType: Card for IC 6000 Conrollers. The board is in good condition.
$
1200.00
Buy It Now
$45.00 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
Red -color polycarbonate shell and PEEK wafer supports. We have many parts we have not had a chance to list yet.
$
199.99
Buy It Now
$14.66 Shipping
Condition: New
Location: Dallas, United States
-AMAT -Applied Materials -0020-42259 -Clamp Isolator -EC WXZ -Includes (Lot Of 4)
$
675.00
Buy It Now
$18.00 Shipping
Condition: Used
Location: Rochester, United States
AMAT 0150-09265. Used, good condition.
$
1100.00
Buy It Now
$24.98 Shipping
Condition: Used
Location: San Jose, United States
AMAT 0042-33940 Supported Faceplate Flat With Groove Teos Large Electrode Prism Applied Materials Shower Head.
$
14002.27
Buy It Now
Condition: Used
Location: Albuquerque, United States
This Camtek Falcon 200 is used untested surplus and is being sold as-is. The physical condition is good and there are visible signs of previous use and ... morehandling. Part No: Falcon 200 ALB. Untested As-Is.
$
4903.66
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Verteq Superclean 1600 Dual Spin Rinse Dryer is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... morePart No: SUPERCLEAN 1600. Serial numbers or country of manufacture may vary.
$
4499.99
Buy It Now
$158.09 Shipping
Condition: Used
Location: Leander, United States
(1) Brooks 150825 Aligner. Wafer aligner robot. With hand 150018-C-18142 for 200mm wafers. Manufacturer: Brooks Automation. Notes:This system is in Partial ... moreworking condition. It never visibly loads windows or any other software.
$
3003.22
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
This AMAT Applied Materials 0010-40278 150mm E-Chuck Pedestal Assembly is manufacturer refurbished surplus. The physical condition is good, but there ... moremay be signs of previous use and handling. E-CHUCK PEDESTAL ASSY, 150MM JMF, BIAS Q.
$
6750.00
Buy It Now
Condition: For parts or not working
Location: Phoenix, United States
Model Number: BAK 600 E. (1) Are you the end-user of this item?.
$
2150.00
Buy It Now
$51.80 Shipping
Condition: Used
Location: Morgan Hill, United States
$
808.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0010-10033 Wafer Lift Ceramic Hoop P5000 is used untested surplus and is being sold as-is. The physical condition is good ... moreand there are visible signs of previous use and handling.
$
300.00
Buy It Now
$9.43 Shipping
Condition: Used
Location: Annandale, United States
SUSS |Z| Probe Z040-K3N-GSG-150 Single Port RF & Microwave Wafer Probes
$
4200.00
Buy It Now
Condition: Used
Location: Brentwood, United States
In-line Heater 208V 6 kilowatt. Stainless steel heater for solvents. Goldenfab (26).
$
199.00
Buy It Now
Free Shipping
Condition: Used
Location: Canton, United States
This is a working. Tested 4 and a half digit multimeter. As you can see from the photo where it is plugged into a voltage standard(NOT included) it seems ... moreto have pretty good cal. From what tests I have been able to carry out, it seems to be fully working. I have a 14 day no questions asked return policy. The case is sound. But cosmetically tatty, with a fair bit of label remnant material. Note: no probes/cables/etc. included in the sale. Posted with
$
1509.21
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
This Novellus Systems 15-00700-00 Plate Bottom Fork is refurbished surplus. The physical condition is good, but there may be signs of previous use and ... morehandling. PLATE, FORK RETAINER, BOTTOM. Manufacturer Refurbished.
$
970.00
Buy It Now
$50.00 Shipping
Condition: Used
Location: Grand Prairie, United States
Varian Assy. No: E19287173 Rev. A. AMAT: E11656920 Rev. A. Sold As Is. Axiomtek 2U Rackmount Chassis.
$
1055.74
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection ... moreSystem. Part No: Wafer Prealigner. Serial numbers or country of manufacture may vary.
$
114.99
Buy It Now
$10.00 Shipping
Condition: New
Location: Mesa, United States
You are buying a new Applied Materials AMAT 0020-85413 left guide plate. (MWHALL16 A).
$
120.00
Buy It Now
$17.50 Shipping
Condition: Used
Location: San Jose, United States
Swagelok 44X Series Valves SS-44XLVCR8-1447.
$
6306.45
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: ADH Adhesion Process Station. 5086-403977-11 SENSOR,CYLINDER ADH U/D #02 (Qty.1). 5086-400794-11 SENSOR,PROXIMITY ADH #01 (Qty.1). 5086-401786-11 ... moreSENSOR,PROXIMITY ADH #02 (Qty.1). The physical condition is good, but there are signs of previous use and handling.
$
200.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. It powers up, and ... morethe digital display is bright and clear, but it reports a Cable Interlock Fault, as shown.
$
753.10
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: 1064900. Serial numbers or country of manufacture may vary.
$
20000.00
Buy It Now
Condition: Seller refurbished
Location: North Billerica, United States
$
602.24
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Model No: SlurryScope. The physical condition is good, but there are signs of previous use and handling. Part No: 100068.
$
2004.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Panasonic Part No: MQMA011A2B. This AMAT Applied Materials 0040-84820 Wafer Indexer is used working surplus. The physical condition is good, but there ... moreare signs of previous use and handling. Serial numbers or country of manufacture may vary.
$
360.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Novellus Systems 15-00353-00 Housing Spindle Shaft Bearing Working Surplus.The physical condition is good, but there are signs of previous use and handling. ... moreSerial numbers or country of manufacture may vary.
$
114.95
Buy It Now
$24.95 Shipping
Condition: Used
Location: Abington, United States
This unit does include the remote switch key. Classification: Used good condition key functions working. This unit was test for power, unit power is fully ... moreoperational.
$
304.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Atec Engineering 0040-64496 Carrier Plug is new surplus. The physical condition is great. AMAT Applied Materials Part No: 0040-64496. Serial numbers ... moreor country of manufacture may vary.
$
420.00
Buy It Now
$15.00 Shipping
Condition: Used
Location: Rochester, United States
Used, good condition.
$
2312.23
Buy It Now
Condition: Used
Location: Albuquerque, United States
Model No: FOUP Front Opening Unified Pod. The unity is missing the front panel and has minor dings to the upper surface (see photos). The physical condition ... moreis good, but there are signs of previous use and handling.
$
809.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: 105077001. Inventory # CONJ-1958.
$
2501.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Daifuku 300mm Wafer Transport is used working surplus. The physical condition is good, but there are signs of previous use and handling. Model No: ... more300mm Wafer Transport. Panasonic AC Servo Drive Part No: MADDT1207N52 (Qty. ).
$
1502.24
Buy It Now
Condition: Used
Location: Albuquerque, United States
This Fairchild Technologies COATER 2 is used untested surplus and is being sold as-is. The unit appears to be complete but may be missing components (see ... morephotos). The physical condition is good and there are visible signs of previous use and handling.
$
141.79
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: WCDS000F4. Serial numbers or country of manufacture may vary.
$
800.00
Buy It Now
$50.00 Shipping
Condition: New – Open box
Location: South Korea
South Korea.
$
3512.23
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Taiyo Machinery 1201 8" LED Wafer Mapping Sensor 200mm is new surplus. The physical condition is great. Part No: 1201. Model No: 8" MAPPING SENSOR. ... more(CT) 8" MAPPING SENSOR (LED).
$
801.24
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Model No: IDLER(IRAS-Y) ASSY. Serial numbers or country of manufacture may vary.
$
13950.00
Buy It Now
Condition: Seller refurbished
Location: North Billerica, United States
MPM MPM TF-100 SCREEN PRINTER 6X6" PRINT AREA. UP TO 12X12" FRAMES DIGITAL CONTROLLER
$
1039.97
Buy It Now
Free Shipping
Condition: Used
Location: Sacramento, United States
It provides a temperature ranging from -203o C to 20o C with heat removal capacity ranging from 0.5 Watt to 30 Watt. The CRYOTIGER® I Cooling System consists ... moreof basic modules: compressor, cryocooler and gas lines.
$
178.61
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. EXCL RING 200MM. Inventory # CONJ-1021.
$
2009.23
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
The physical condition is good, but there may be signs of previous use and handling. Model No: Manufacturer Refurbished. Part No: 853-004073-001.
$
1509.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Novellus Systems 15-01023-00 200mm Copper RF Distribution Plate Set of 3 is used working surplus. The physical condition is good, but there are signs ... moreof previous use and handling. PLATE, RF DIST, COPPER, 200MM.
$
200.00
Buy It Now
$12.50 Shipping
Condition: Used
Location: San Jose, United States
$
3000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
$
114.99
Buy It Now
$10.00 Shipping
Condition: New
Location: Mesa, United States
You are buying a new Applied Materials AMAT 0020-85414 guide plate right. (MWHALL16 A).
$
404.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: 909276-001. Part No: R10233. Part No: 089934-000. Part No: 906200-001. Part No: 083801-000. Part No: 087311-000. ... morePart No: 082406-000.
$
3103.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Brooks Automation 002-7200-21 Wafer Load Port is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... moreRemoved from a KLA-Tencor eS31 E-Beam Wafer Inspection System.
$
2506.22
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Mattson Technology 235-19347-00 Wafer Paddle Arm End Effector is new surplus. The physical condition is great. Serial numbers or country of manufacture ... moremay vary. Part No: 303-05074-00 (Qty. Part No: 303-07759-00. ).
$
250.00
Buy It Now
$14.50 Shipping
Condition: New
Location: Oxford, United States
I already have sold one of the Ceramic Wafers(4"x4"), and only have this 3"x3" Ceramic Wafer Left.
$
35.00
Buy It Now
$10.00 Shipping
Condition: Used
Location: New York, United States
3 Foot Cable For Matrix System One Stripper Style 10X, Matrix 10336 pin Male to Female Circular Socket Connector
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
 In 

Former Listings  
 
Pacific Western Systems P5NMS PSC Semi-Automatic Wafer Probing Inspection Statio
Pacific Western Systems P5NMS PSC Semi-Automatic Wafer Probing Inspection Statio Description Pacific Western Systems P5NMS PSC Semi-Automatic Wafer Probing Inspection Station Olympus: SZ4045 Scope, PWS: P5DMS Probe 2, Desktop Computer(Windows NT Workstation 4.00. Intel P4 1.6GHz, 256MB RAM, 40GB HDD, 1.44" Floppy, CD ROM) Viewsonic E70f 17" Monitor, Keyboard& PS2 Mouse, This item requires freight shipping. Please contact us for a shipping quote prior to purchase. Manufacturer Pacific Western Systems Manufacturer Part Number P5NMS Item/Ship Weight 865 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or ... morecall us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item may require palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 44943 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that w
Varian extrion Current Integrator 19" Rackmount Push Button Switches Relays etc
Varian extrion Current Integrator 19" Rackmounted From Varian Ion Implanter Contains: PushButton Switches, Relays. Acopian D15-10(+/ 15VDC Tracking Supply) 19" Rackmount CardCage etc. CONDITION: Used. As Is, Unable To Test ~ 13 lbs. SHIPPING TO LOWER 48 $23.00 ALL OTHERS EMAIL FOR SHIPPING COST. Also Available for local pick up at our office in Hayward If you have questions or concerns. Please email us, or call Bruce at 510-784-1111. We're here Monday-Friday. 8 am- 5 pm PST, and at other times by chance! Photos shown are actual photos of items taken just right before listing. If it's Not Shown in the Photo(s) it's Not Included! Note Shipping to Buyer is Not Refunded on Returns! Please Note That Standard Shipping is UPS Ground Which May Take Five to Seven(5-7) Days in Transit! Thanks for Shopping! 31A-SM-EN4U 4889W Froo ... morewww.froo.com | Froo Cross Sell. Free Cross Sell, Cross promote, eBay Marketing, eBay listing Apps, eBay Apps, eBay Application. G.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bjw%60hquvg%3Eg-13eaee4f16a-0xfc-
Lot of 4 Ceramic Wafer Arms
Lot of 4 Ceramic Wafer Arms Description For sale is a lot of 4 Ceramic wafer arms. These seem to be in farely good condition with only a few dirt spots on them. I know little about these arms but feel free to ask any questions you may have before bidding. Only items pictured are included. If a part is not pictured or mentioned in the description then it is not included in the sale. If you are unsure about any aspect of this item please send an email and ask questions before bidding. We do offer combined shipping discounts and free local pickup. Email for details. OceanTech WE BUY& SELL IT EQUIPMENT Guarantee 14 DAY RETURN POLICY: We want our Customers to be happy with their purchases. So customers are welcome to return items within the first 14 days of receiving the item if they are unhappy with the item. There will be a 20% restocking ... morefee on any 2nd return from the same customer that are not due to a hardware failure within the first 14 days of receiving the item. There will be no restocking fee for items that break in transit or have a hardware failure in the first seven days the customer receives it. Buyer is responsible for return shipping charges unless there was a hardware failure We will not issue refunds for items we deemed tampered with or are dissembled by the customer. Our Customer Support We do our best to ensure that each and every transaction is free of problems and issues. If you have any questions or concerns we are here to help. Per eBay's policy and guidelines you must contact us before opening a case. We ask that you only open a case as a last resort and will do everything we can to prevent you from needing to do so. If you would like to Contact us! Please use the eBay message system under the"contact seller" hyperlink. Hours: We are open Monday-Friday. 9am-5pm Central Time. We are closed on Weekends and all Major Holidays. We rarely exceed 48 business hours when responding to emails. If you do not receive a response. Please check your email filters to see if our return email
VAT ISO 80 Gate Valve, F12-58008-06
VAT ISO Gate Valve. Model F12-58008-06 It opens and closes. But it's not leaked checked. Quoted shipping price is for lower 48 states US customers only. Ships fedex ground. Logan Technologies. LP 254-773-4070
(4870-4) PROTEUS Fluid Flow Switch, #1000110
Recent NASA surplus.Nice condition, test good. 4 lbs, UPS. If you are not entirely satisfied, you may return the item prepaid within 7 days for a refund of purchase price, less any shipping. NO HANDLING CHARGE. We appreciate the business, please CHECK OUR OTHER AUCTIONS, we try to add 20 per day. Method of payment: Paypal. I’ll be happy to answer any questions, my cell is 770-307-6221(Doug) call anytime up to 11:00 PM, EST. If you get a recording, please leave a message and I WILL call you back. If you have any questions about pricing, please call instead of email. Now please, be prepared to pay immediately thru Paypal if bidding. We try to ship at least twice a week and pack well, but you know UPS. If it arrives damaged, do not accept it and notify the driver immediately. We will file claim when they notify us. Freight claims will be between ... morethe buyer and their carrier. If you have a problem with your purchase, please contact us immediately before flying into feedback and Ebay claims. We have yet to have a dissatisfied customer when we were contacted first and the problem was in any way our liability. WE have a 7 day no question ask return policy(except as-is items) and sometimes adjustments are made in the field at our option. RETURNS: Eligible items must be returned prepaid within 7 days, in original condition for refund of original purchase price, exclud FREIGHT:Freight items are considered customer pick. If you choose to have a truck line, please have your carrier FAX a Bill of Laden to 770-867-4990 and give us 24 hrs. notice of pickup at 770-867-6804. The unit(s)will be shrink wrapped and strapped to a pallet(no charge) the estimated weight is given in the description, unless stated otherwise. Call if you have any questions We have loading facilities and our address is: Harwell Reproductions 16 W. Williams St. Winder, GA 30680 770-867-6804 THANKS FOR LOOKING AT OUR AUCTIONS- WE LOOK FORWARD TO DOING BUSINESS Powered by The free listing tool. List your items fast and easy and manage your active items.
Varian 684328 RF Plasma Power HFS-1000D 13.56MHz Supply
Varian 684328 RF Plasma Power HFS-1000D 13.56MHz Supply Description Varian 684328 RF Plasma Power HFS-1000D 13.56MHz Supply/ Controller Cabinet Unit is AS-IS for parts or repair. Assembly No. 684328 Rev. H, Schematic 684326 Rev. B, 208 Volt. 14 Amp, 50/60 Hz, Phase 1, Software Version No. 3180 Version 15B. 021784-1, RF Plasma Controller APCS-3, HFS-1000D 13.56MHz, 208/ 240 VAC, Manufacturer Varian Manufacturer Part Number 684328 Item/Ship Weight 383 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact ... moreitem we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42472 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The
MKS TYPE 2258B-00100RV MASS FLOW METER - 100SCCM N2 W/ NUPRO SS-4BK -b
MKS TYPE 2258B-00100RV MASS FLOW METER- 100SCCM N2 W/ NUPRO SS-4BK-b Description: Thank you for your interest! We are auctioning off this MKS TYPE 2258B-00100RV MASS FLOW METER- 100SCCM N2 W/ NUPRO SS-4BK-b Acquired from government surplus. As you know with most government agencies. When a new grant comes in, they have to justify the grant by buying new equipment leaving very useful equipment for surplus. Comes as shown! Some cosmetic wear. USA CUSTOMERS- NO MEANS TO TEST. However will offer a 14 day guarantee to try it out fully with right of return. INTERNATIONAL CUSTOMERS- NO MEANS TO TEST. And due to the cost to ship internationally and the distance items have to travel, ALL items shipped outside of the USA are SOLD AS IS WITH NO GUARANTEES. We love our international customers and would love to have your business but due to the nature ... moreof the used equipment, and that all items are untested and used, all sales are final. No refunds or returns, please bid accordingly! 1. All equipment is sold-as-is. We are surplus equipment dealers and do not have the ability or knowledge to test equipment for functionality beyond plugging it in and describing what we observe as best we can. 2. All statements regarding products and their configurations are made to the best of our ability and with the assumption that the buyer is knowledgeable and proficient in the use of this type of equipment. 3.We have no knowledge of the history of the equipment. Due to the nature of used and surplus equipment no guarantees or warranties either expressed or implied are offered unless specifically noted in the description. 4. NO REFUNDS OR RETURNS will be allowed. No exceptions unless offered in the description. 5. No manuals.NO STANDARD POWER CORDS, accessories, software etc are included unless pictured and noted in the description. 6. It is the bidder's responsibility to understand that the terms of the auction. The nature of equipment offered and bid accordingly. Shipping: BUYER TO PAY SHIPPING IN THE US. Alaska& Hawaii will be mor
FSI Excalibur Single Station Vapor Phase Wafer Etcher Cleaner
FSI Excalibur Single Station Vapor Phase Wafer Etcher Cleaner Description FSI Excalibur Single Station Vapor Phase Wafer Etcher Cleaner This item requires freight shipping please contact us for a quote prior to purchasing. Manufacturer FSI Manufacturer Part Number Excalibur Item/Ship Weight 1111 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300. Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not ... moreincluded. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42131 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package is too large to qualify. As such. We may need to contact you before shipping to make an adju
TELEMECANIQUE SQUARE D LC1 F225
THIS LISTING IS FOR A TELEMECANIQUE SQUARE D LC1 F225. MODEL# LC1 F225 CONTACTOR 225AMP 3POLE WITHOUT COIL ITEM WAS PULLED FROM A WORKING MACHINE. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf%60%60%3E-13eafaba6c5-0x107-
A99869 PRI Automation PRE-300-CE Prealigner, Pre-Aligner, P54
A99869 PRI Automation PRE-300-CE Prealigner. Pre-Aligner, P54(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# A99869 This PRI Automation PRE-300-CE Prealigner. Pre-Aligner looks to be in good cosmetic condition with signs of wear and previous use. I really lack the knowledge and equipment to test properly so it is being sold as-is. Unpacked dims are 11*7*9. See pictures for more details. No cables included. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below Shipping. Buyer pays a fixed shipping and handling fee of $25.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) ... moreAll international shipments(including Hawaii and Alaska residents) will be quoted based on winning bidders shipping address. The unpacked weight of this item is 15.00 Pounds Orders will ship 1-2 business days(excludes weekends and holidays) after receipt of confirmed/verified Paypal payments. We do not process orders nor ship on weekends or holidays. Auction Terms. Upon end of auction. The winning bidder should proceed to"CheckOut" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as advertised. As is and without warranty. Questions should be asked prior to bidding as all sales are final PAYMENT TERMS ARE PREPAID ONLY. Payments. ALL AUCTIONS ARE PREPAID ONLY. We accept Paypal payments that are US and Canada Verified with ship-to US and Canada confirmed addresses only. We accept credit card payments through confirmed/verified Paypal accounts only. California Sales Tax For all California ship-to locations within Santa Clara County. Local sales tax applies. For all California ship-to locations out of Santa Clara County. The current basic state tax rate applies. Orders will
Quartz wafer boat for 4 inch wafers
Quartz wafer boat for 4 inch wafers. Holds about 50 wafers. About 6 inches long. In perfect working condition. Nothing broken. Will pack very carefully with a lot of bubble wrap and shipping peanuts when shipping. Buyer has 14-day right to return for refund(buyer pays for return shipping)
Vacuum Chamber UHV Reducing Angle
Vacuum Chamber UHV Reducing Angle Pics are of actual item- Vacuum chamber for sale. It is not quite a full right angle. Though it is stainless. It has been painted, color is accurate, not a white balance issue. On each side of the angle is an offset 2.75" CF flange. The larger end has a 10" CF rotating flange, reduced to an 8" rotating CF on the other. Overall height with large end down is about 16 inches. Condition- Used but very good condition. All knife edges are sharp and clean. No burrs. Warranty- To be as shown. Guaranteed to hold vacuum. Shipping- Will be $19 in the continental US. gsrx_vers_476(GS 6.4.4(476)
Perkin Elmer - 8" Throttle Valve
Perkin Elmer- Throttle Valve. 8" Flange size. Surplus.
S&K Products IPA (ISOPROPYL ALCOHOL) Cleaner Dryer with Ultrasonic Option
S&K Products IPA Cleaner Dryer with Ultrasonic option. Complete unit as pictured. Good working condition. Local pickup. Or buyer arranges shipping. 7.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bkw5%7Fos1%3E%3E7-13eb8f04deb-0xfd-
Chuck removal tool for Canon I5 Stepper
Chuck removal tool for Canon I5-024 stepper. Very good condition. Comes in storage case.
Disco DFM-M150 6" 150mm Film Frame Manual Wafer Mounter (skuC780)
Up for sale is a Disco DFM-M150 6" 150mm Film Frame Manual Wafer Mounter The unit does not power on and has no signs of life. As far as physical condition there are scratches. Scuffs, some missing screws and possibly parts missing. see pictures) I have described this item to the best of my ability so if you have any questions about this item please send me a message. Thanks for looking! THIS ITEM IS BEING SOLD FOR PARTS OR REPAIR ONLY! THAT MEANS THAT THIS ITEM WILL NOT BE FULLY FUNCTIONAL. THERE MAYBE ADDITIONAL PROBLEMS WITH THE ITEM THAT ARE UNLISTED. BECAUSE WE DO NOT FULLY TEST ITEMS THAT WE SELL FOR PARTS. If you have questions about any of our items. Please feel free to send an email. We answer emails between 8:00am-4:00pm PST Monday-Friday. On the weekends we are closed. We will answer your question the next business day. Q2 ... moreOn May-17-13 at 19:22:55 PDT. Seller added the following information: Every buyer gets a MyStoreRewards invitation for cash back
Barnstead International B-pure water filter
This came from a working lab but I have not been able to personally test this. For that reason it will be sold as is. With no warranty or guarantee on anything. Any questions feel free to ask or email me at swaga101@comcast.net. I have limited knowledge on this item but I will answer any questions as best as I can. 5v.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bkw72shq%3E5v-13eb8f04e3c-0xf9-
SELLING 2 AXCELIS ACTUATOR ASSEMBLYS NV10 NV1080
AXCELIS ACTUATOR ASSEMBLY FOR NV10. They both came from a working lab but I have not been able to personally test this. For that reason it will be sold as is. With no warranty or guarantee on anything. Selling them both for $650 as a package, but feel free to make a offer. Any questions feel free to ask or email me at swaga101@comcast.net. I have limited knowledge on this item but I will answer any questions as best as I can
Planetary vacuum chamber
I do know the chamber came from a working lab and was taking care of. I do not have the proper equipment to test this so it is being sold as is with no warranty or guaranty on anything. The chamber includes all the blue prints as well. All shipping costs will be the buyers responsibility. everything you see in the picture is what you will be buying it does have the planetary assembly and rack for shipping. If you have any questions about anything feel free to email me at swaga101@comcast.net
Thermcraft 24" LONG 3" DIAMETER TUBE FURNACE 1000C MAX 3-18-1ZM
THIS IS A THERM CRAFT 3-18-1ZM TUBE FURNACE MODEL 3-18-1ZM 2550 WATTS 230V MAX TEMP 1000C 24" LONG 3" DIAMETER/ Shipping on this item is 165.00 to the lower 48 states. If you are from overseas or Alaska or Hawaii please email me for a shipping quote. Please understand if it is going out of the country it can take between 3 and 6 weeks depending on customs. If item is weight is over 150 pounds too large for Fedex it will need to be sent Freight This will require a commercial address name of Business phone number if it is going to a residential address there will be extra 100.00 charge also if lift gate is needed that is a additional charge Please email me with this information. If it is not shown in the picture then it probably does not come with the item please email me if you have a question before purchasing. Paypal Is accepted. ... moreAll Items will be shipped out within 48 hours of purchase if it is a item that must be palletized I need a commercial address and phone number. If you have any problems with the item please email us so we can work the problem out. Thank You For Looking At Are Item150.
Valcom Pressure Switch NF-(-100~-2VAO-4D 200kPA)
Valcom Pressure Switch NF-100~-2VAO-4D 200kPA) All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 7 day Not DOA satisfaction guarantee. Not unit has been stored with clamp and block off plate. These are included with this item. gsrx_vers_418(GS 6.0.6(418) Froo www.froo.com | Froo Cross Sell. Free Cross Sell, Cross promote, eBay Marketing, eBay listing Apps, eBay Apps, eBay Application.
Rigaku 3620 X-Ray XRF spectrometer Wafer/Disk Analyzer gold scrap alloy
RIGAKU 3620 XRF TXRF REMOVED IN WORKING ORDER sold as-is COMES WITH COMPUTER SYSTEM. XRF, CABLES, TRANSFORMER, HEAT EXCHANGER. MANUALS. ETC. FEEL FREE TO ASK ANY QUESTIONS IT IS AVAILABLE FOR INSPECTION AT OUR ANTIGO. WI WAREHOUSE HAPPY BIDDING CALL 715-610-2402 WITH ANY QUESTIONS. PLEASE NOTE WE DO NOT SHIP ON WEEKENDS. Dan Newman. Attn: eBay Sales. W10080 Cty Hwy B. Deerbrook. WI 54424. Payment for all items is due within 3 days of the auctions ending date. Unless you contact me via email to ask for additional time prior to bidding. Shipping Information: SHIPPING AND INSURANCE. Shipping quote is based on the actual rates. palletized items are charged $25 for pallet. Shrink wrap, banding, and packaging supplies. SHIPPING DESTINATIONS. We are happy to ship WORLD-WIDE. COMBINE SHIPPING. We do offer combined shipping on multiple purchases in ... moreorder to save our customers a great deal of money. The process of combining shipments can be difficult because of the extreme variation of the products that we sell(which range vastly in size. Weight and fragility) We have developed a general system that usually works to everyone's satisfaction. After you win multiple auctions Please email us for the new quote. LOCAL PICK UP. All customers are welcome to pick up their product in person at our Warehouse. Provided that prior arrangements with our eBay department have been made, which may include scheduling an appointment for your pickup. You will not be charged for shipping, however, there is a $2.00 handling fee for all purchases under $25.00. All local pick up customers will also be required to present a valid form of ID(drivers license or credit card) with the identical name that appears on their eBay account. This is so that no one else attempts to pickup your item. Please remember that any purchased product that is not picked up after 30 days will remain the property of MMT-ARS, LLC. Please remember, do not show up for your local pick up with out making prior arrangements through the eBay department, as
Fusion Semiconductor Lamp/Power Supply Interface Card 265842 REV: G
This bid is for Fusion Semiconductor 265842 REV: G Nice clean board. Unable to test, Condition unknown. If you have any? please feel free to ask. Thanks for looking and happy biding!
UNIT INSTRUMENTS UFC-1500A 200 SCCM C2F6
UNIT INSTRUMENTS UFC-1500A 200 SCCM C2F6 Description: Thank you for your interest! We are auctioning off this UNIT INSTRUMENTS UFC-1500A 200 SCCM C2F6 Acquired from government surplus. As you know with most government agencies. When a new grant comes in, they have to justify the grant by buying new equipment leaving very useful equipment for surplus. Comes as shown! Some cosmetic wear. Top smashed in some. Sold as is for parts or repair! 1. All equipment is sold-as-is. We are surplus equipment dealers and do not have the ability or knowledge to test equipment for functionality beyond plugging it in and describing what we observe as best we can. 2. All statements regarding products and their configurations are made to the best of our ability and with the assumption that the buyer is knowledgeable and proficient in the use of this type of equipment. ... more3.We have no knowledge of the history of the equipment. Due to the nature of used and surplus equipment no guarantees or warranties either expressed or implied are offered unless specifically noted in the description. 4. NO REFUNDS OR RETURNS will be allowed. No exceptions unless offered in the description. 5. No manuals.NO STANDARD POWER CORDS, accessories, software etc are included unless pictured and noted in the description. 6. It is the bidder's responsibility to understand that the terms of the auction. The nature of equipment offered and bid accordingly. Shipping: BUYER TO PAY SHIPPING IN THE US. Alaska& Hawaii will be more! INTERNATIONAL BIDDERS WELCOMED-PLEASE CONTACT US FOR ACCURATE SHIPPING CHARGES Payment: Gladly accept most forms of payment including paypal click to Enlarge inkfrog terapeak. I000000. InkFrog Analytics.
Caton Connector 15642-L1 Rev,0088402-000 3 pin cable,KLA Tencor
92139B1 Caton Connector 15642-L1 Rev.0088402-000 3 pin cable,KLA Tencor Item Description Caton P/N: 15642-L1 Rev 5. Cust P/N: 0088402-000, S/N:06-892 Cable Length ~190cm. 3 pin female and 3 pin male connector. Label for"KLA Tencor" Weight aro 1.2 kg(unit only) 1.5 Kg with packing. Used. Good physical condition. Connectors Ok. Mechanical ok. Center cable rubber shield was broken. But does not affect cable. Unit taken from factory working system. Condition untest beside it physical outlook. This unit is given 14 days DOA if it does not perform as standard or what we described. We assume buyer will know how to operate or it functionity prior bidding. Not Include the problems or issue we have listed here. No physical damage and clean(Actual unit shown in photo) We did not perform full functional check. AS-IT condition. The observation ... moreis to help buyer to made best judgement prior bidding. No other parts or accessories except listed in the listing. Return Policy 14 Days DOA. will refund purchase price minus freight cost(upon returning to us) if item does not perform as it standard function or not as what we described here. For Free shipping. A standard Freight cost will be deducted from selling price. Buyer Pay Return Freight if item send back for refund. All issue MUST feedback to us within the DOA period. otherwise. It will consider accepted. For Free Shipment item. A freight charge of USD 20/= will be deducted if item is return for refund. GST GST Apply for local delivery in singapore. Oversea delivery exempted. Freight Standard Freight by Registered Post Service. Transit detail: 5 to 7 working days for Asia. 10 to 15 working days for US, EU. Tax not included. Freight to Singapore Locally: S$ 15/=(USD 12/=) for posting to Singapore. Any Questions. Please email us. Please ask prior making offer. Zone A Zone B Zone C Zone D Zone E Zone F Zone G Postage Service kg, Brunei. Malaysia, Hong Kong. Philippines, Thailand, Indonesia. Sri Lanka, Taiwan, South Korea, Australia, Canada. Germany, Netherlands, Switzerl
Genmark transfer wafer robot 5064340 LOT OF 2
Genmark transfer wafer robot 5064340 LOT OF 2 This robot is untested and will be sold AS-IS. NOT RETURN OR REFUND
Kensington Laboratories WFH3B TT/ LR/ FF Wafer Handling Robot Controller
Kensington Laboratories. Inc. Descriptions Model: WFH3B TT/ LR/ FF Used unit. Good condition, I do not have the capabilities of testing this unit, untested, sell as is Kensington Laboratories. Inc. Wafer Handling Robot Controller Please look over on the 4 photos Local pick up free Used Unit. picture shown. Warranty Item untested. Sold as is, no warranty, no return Payment Paypal Cashier check Personal/Company check(Note: Personal/company check will be held 5-8 business days for clearance. If you preferred to pay by credit credit(VISA/MC) Please register with Paypal for their FREE online payment service. 8.250% sales tax will be applied if you are a California resident. Shipping& Handling Buyer pays fix amount shipping cost $55 in the 48 states. Canada. Alaska and Hawaii pay actual shipping cost Terms Payments must be received within 7 ... morebusiness days after our confirmation was sent to all winning bidder. Contact Business Hour: Monday- Friday 9:00am- 6:00pm PST
KENSINGTON LABS 4000-60048 SBC I/O PIGGY BACK BOARD
Descriptions P/N: 4000-60048 Good condition. Pulled from a system working KENSINGTON LABS SBC I/O PIGGY BACK BOARD Please look over on the 3 photos Local pick up free Used Unit. picture shown. Warranty 14 day warranty Payment Paypal, Cashier check Personal/Company check(Note: Personal/company check will be held 5-8 business days for clearance. If you preferred to pay by credit credit(VISA/MC) Please register with Paypal for their FREE online payment service. 8.250% sales tax will be applied if you are a California resident. Shipping& Handling Buyer pays fix amount shipping cost $7 in the 48 states. Canada. Alaska and Hawaii pay actual shipping cost Terms Payments must be received within 5 business days after our confirmation was sent to all winning bidder. Refund full amount but not include the shipping cost. Buyer pay freight cost when ... morereturn the item. Contact Business Hour: Monday- Friday 9:00am- 6:00pm PST
Filter Housing Hytec PTFE Teflon
This item is a Hytec PTFE/Teflon filter housing commonly used for Hot Sulfuric or Hot Phos applications. The filter housing allows for removable filter cartridges. These were commonly used on SCP wet stations. We have three in stock. The units can be chemically hard cleaned to remove organics. Particulates and ionic contamination prior to shipment is requested. The units will be rinsed with 18 Meg ohm DI water and bagged in a cleanroom after cleaning.
Pall Filter Housing Teflon
This item is a Pall Filter Housing constructed of Teflon. It fits a standard 10 inch long cartridge. These were commonly used on wet stations of all types. We have 3 of these in stock. The units can be chemically hard cleaned for organics. Particulates and ionic contamination. They will be rinsed with 18 Meg ohm DI water and bagged in a cleanroom for shipment.
Dektak 3 w/extra long sensor nose and large stage table, many new items added.
Dektak IIA has been upgraded to a Dektak 3 totally refurbished to factory specs. 90 Day Warranty On Parts Only. This Dektak has a longer sensor nose and a large stage table for 6" wafers. New Pentium III Computer with 3.21 Dektak software. New 17" LCD screen. New Lan Card. Network. New keyboard with Dektak hotkeys. New mouse. New Dektak manual. Vertical Range: 100A to 655Ka. Scan Length: 50 Microns to 30mm. Sample Stage Diameter: 127mm(5 inches) New 12.5 micron Radius Diamond Stylus. Other option sizes available at cost to you. Please contact us for more information. Free Shipping in the U.S.A. only.
MKS INSTRUMENTS MASS PRESSURE CONTROLLER 649A12T11CAVR 649 MFC 10 SCCM N2
Manufacturer: MKS Model: 649A12T11CAVR Condition: Used Notes: Used surplus removed from a major U.S. fab Included: 1) MKS PRESSURE CONTROLLER 649A12T11CAVR 649 MFC 10 SCCM N2 Specifications: Range: 10 SCCM Gas: N2
2700 Cascade Microtech/Alessi REL-5500 Analytical Wafer Probe Station
0 0 1 142 813 North Texas Surplus 6 1 954 14.0 Normal 0 false false false EN-US JA X-NONE You are Buying a Cascade Microtech/Alessi REL-5500 Analytical Wafer Probe Station Used. Excellent Conditions. Sold As Is. Alessi Analytical Wafer Probe Station Mod: REL-5500 8” Chuck 2700 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote ... moreprior to bidding. The charge for freight will be determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
Olympus Model AL-1MBL 6" Wafer Loader System From Optiphot 66 Microscope
Olympus Model AL-1MBL 6" Wafer Loader System From Optiphot 66 Microscope We are offering you an Olympus.Is pre-owne and shows minor used, please ask all questions before buying, in working order when was removed,lights up,bottons work. SN# 160908. Is selling as is. No return accepted. unit.Power cord. it comes how looks in pictures only.NO OTHER ACCESSORIES.no manual Please see all the pictures before you buy the unit CONTACT ELECTROSALESONLINE FOR ANY QUESTIONS PAYMENT: Our preferred method of payment is PayPal. Payment is required within 5 business days of purchase date or item will be re-listed. If you have any questions/ problems with your payment or checkout. Please feel free to contact us. WE WILL RECORD SERIAL NUMBER AND SPECIAL ID MARKS ON OUR PRODUCTS. All units ship within five days once your payment has been received. Will ... moreShip Monday-Friday only WE SELL SEVERAL ITEMS. ALL ITEMS ARE PRE-OWNED UNLESS OTHERWISED DESCRIBED, IF THERE IS ANY ISSUE WITH YOUR PURCHASE PLEASE CONTACT US VIA EBAY MESSAGE, PLEASE CONTACT US RIGHT AWAY AND ADDRESS YOUR PROBLEMS BEFORE LEAVING ANY NEGATIVE OR NEUTRAL FEEDBACK, WE NEED PATIENCE AND TRUST, WE WILL HELP YOU UNTIL ANY ISSUE IS RESOLVED. OUR BUYERS SATISFACTION IS A PRIORITY. WE WILLONLY SHIP TO 48 CONTINENTAL STATES. IT WILL SHIP INSURED.
LOT of 24! 8" (200 mm) Silicon Wafer Wafers With Great Pattern
Winner of this Bid will Receive 24 Copper 8" Silicon Wafers plus the plastic wafer holder. WHAT A DEAL! Any questions feel free to email.
SELA PCM Wafer Cleaver/Silicon Wafer Cleaver Tool/GaN, GaAs, SiC
SELA PCM- used. In very good condition. Great tool to cleave any crystalline materials. Very useful to cleave different silicon semiconductor wafers. Delivery Time: Two weeks after payment
Saint-Gobain AstiPure AMC1 210 Pulsation Damper
This listing is for a Saint-Globin AstiPure model AMC1 210 pulsation damper. A pulsation damper is primarily used to dampen the pulse generated on the delivery stroke by pneumatically operated diaphragm and bellow pumps. Other applications include: Pharmaceutical production line, Recirculation with filtration, Continuous injection, Transfer of ultrapure acids, Ultrafiltration, Circulation with control of the flow rates, Some specs and features for this item include: PFD1 Pump, 72.5 PSI 5 bar Max Air Pressure, Flare Fitting Tube Ø 10 x 13 or 3/8" x 1/2" Connection, DC Configuration, Ø 2.5 x 4 Max Air Connection, Entirely made of High Purity PFA and PTFE, No metal parts(internal or external) No rotating shaft or glands to cause leakage, Operating temperatures 32°F(0°C) to +212°F(100°C) Pneumatically operated(dry ... moreair) Easy maintenance, Same spare parts as for the pump, Compact design, For additional specs please refer to the manual(in PDF format) Condition: This item is used but in excellent condition. Shipping Information Shipping weight is 7 pounds. Shipped from Los Angeles area. zip code 90221) Default shipping via. UPS Ground or USPS Priority Mail using eBay's shipping calculator. Shipping to Alaska. Hawaii, or other US territories via. Priority Mail using eBay's shipping calculator. California residents will be charged sales tax at the current rate. Tennessee residents will be charged sales tax at the current rate. We have offices in both California and Tennessee and must charge taxes accordingly. For shipments outside of the US we use USPS Priority Mail International via. eBay's shipping calculator. FOREIGN BUYERS ATTENTION We will not make false statements on Customs Documents. When you buy an item it will not be listed as a"gift" It will be valued at exactly the price you paid. YOU must be aware that customs duties(Import Taxes) could be due when the shipment enters your country. YOU are responsible for those duties/taxes; know your own country
Alloy Products Pressure Vessel SVG ASML Photo Resist 316 Stainless Steel Tank 17
Alloy Products Pressure Vessel SVG ASML Photo Resist 316 Stainless Steel Tank 17 These tanks are empty as they have been through the fab decommission cleaning. However they should only be used for original purpose. This item qualifies for eBay Fast N Free- 1 day handling and free domestic shipping with Fedex Ground. AK. HI, PR to pay actual shipping. We care enough to take multiple pictures of our items for sale and all pictures are of the actual item for sale. This item is ready to be shipped upon your purchase. Please email us if you have any questions on this item. All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 14 day not DOA satisfaction guarantee. GC_gsrx_vers_486(GS 6.5.1(486)
Nordiko 8550 Sputtering System -- 150mm System, BATCH OR LOAD LOCK, Complete
Nordiko 8550 Series Batch Load Physical Vapor Deposition System Atmospheric Cycling for BATCH or LOAD LOCK System is Complete. Ready to Ship 150mm Wafer Compatible. Can Run Smaller Wafer Sizes Below are 2 Sections: First is the Manufacturer's Specifications; Second are the Tool Specific Specifications. Section#1: Manufacturer Specifications Capabilities as Listed from the Manufacturer: This is a highly flexible and very capable automatic sputtering system. The 8550 may be configured either for atmospheric cycling to load a batch. Or with a single shot load lock. Since this module is mated to a wafer handling platform that accesses a vacuum cassette load lock chamber. Then the machine designation is the 8550. Two alternative electrode populations are offered; either, Four 250 mm cathodes. Or Six 200 mm cathodes. Each cathode may be configured ... morefor RF diode. DC, RF or DC/RF magnetron operation. The rotating substrate table may be configured to accept a variety of differing substrate formats. The table may be rotated at speeds from 2 to 30 rpm. The table height can also be raised and lowered to optimize the process. The manufacturer loves to talk about this tool. Many more system specifications are available. Please let us know. System owner has what we believe to be FULL AND COMPLETE MANUAL SETS for PLUMBING. INSTALLATION, START UP, ELECTRICAL and even conversations between Nordiko and end user customer. Section#2: Tool Specifications This system is complete and includes the following: Currently 150mm Compatible 4 target sputtering system Load Lock chamber Cryogenically pumped(cryo pump included) Cassette to Cassette Sputter down configuration 4 each RF Matching units 4 each RF Cathodes 4 each 200mm cathodes RFPP RF Generator for targets 2 each RFPP Power supplies Substrate Heat Option Included 3 Process gasses: Argon: 200 SCCM; N2 20 SCCM; O2 20 SCCM; CTI CT-8 Cryogenic pump CTI 8200 Compressor Edwards vacuum pump SPECTRA Vascan Plus RGA. Digital- Excellent condition with Balzers turbo pump and controller i
Venetian Blind Type Viewport Shutter VPZS 100 Series
Venetian Blind Type Viewport Shutter VPZS 100 Series(SURPLUS) Flange mm 152 Conflat OD Inch 6.00 Shutter Type: Venetian Blind ID: 102 Thickness 20 Intrusion 16 Offset 52 Travel 14 OD 18 Max Bake °C 350 336.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3E336-13ec219f8bf-0x105-
JEOL JBX-6000FS/E ELECTRON BEAM LITHOGRAPHY SYSTEM
Here we have a Jeol Lithography System in very good used condition. This system was purchased from a local university who was removing working equipment. Prior to us obtaining this equipment. The university had this system completely dismantled. We have more photos available and are happy to answer any questions you might have. THANK YOU For considering our item! We have been selling on ebay for over 12 years! Bid With Confidence!
Applied Materials/AMAT PJ2 Solenoid Manifold G P/N 0190-35195
Item up for sale is a: Applied Materials/AMAT PJ2 Solenoid Manifold G P/N 0190-35195 Unit appears to be in average cosmetic shape: Tubes have been cut from past usage/quick disconnection Item is used and is untested other than what is indicated below: Unit is untested- its working condition is unknown: Because we cannot test this item. Functionality/operating status is undetermined If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. ~5 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. ============================================================================ Terms of Sale: Payment ... moreOptions: PayPal only or contact us for payment methods to pay on pickup. Bank transfers or payments using a Cashier’s Check may be available. Contact us for additional information and fees. RETURNS: Warranty for Items shipped in the US 14-Day Right to Return- Buyer has 14 days to test item to determine its operational condition unless it was listed as Parts-Only or As-Is. Should the item be received non-operational you may return the item for refund of the purchase price. 14-day period starts the day you receive the item. You cannot return an item because it is not compatible with the system you installed the item into. Please insure that the item you are purchasing is compatible with the application or in the system you intend to use it. Warranty for Items shipped outside the United States All international sales shipped to a destination outside the US are non-refundable. All international sales are final. SHIPPING: Shipping within the United States Buyer is responsible for all shipping costs including returns. Please note for domestic shipments(within US) we ship FedEx ground only. But you can use UPS ground shipping rates(calculated automatically by Ebay) to estimate ship
Quartz Diffusion Boats - 4 Inch & 3 Inch - Batch of 3
Quartz Diffusion Boats- 4 Inch& 3 Inch- Batch of 3 Includes: 1) 4 Inch 25 Slot Quartz Boat(1) 4 Inch 50 Slot Quartz Boat(1) 3 Inch 50 Slot Quartz Boat
AXCELIS FAST SCAN MOTOR MT-1000
Axcelis fast scan motor MT-1000 refurbished by the same place OEM uses. 30 day warranty. For ion implaters 10-80 and 10-160.
MKS INSTRUMENTS 652 652D-12539 SELF TUNING THROTTLE VALVE CONTROLLER WORKING!!!
Manufacturer: MKS INSTRUMENTS Model: 652D-12539 Condition: USED Notes: Used surplus from a major U.S. fab. Controller is working and in good condition Included: 1) MKS INSTRUMENTS 652 652D-12539 SELF TUNING THROTTLE VALVE CONTROLLER WORKING! Specifications: 120/240VAC
Raith ELPHY Quantum Electron Beam Lithography Systems with Dongle Andimess
Up for sale is a used Raith ELPHY Quantum Electron Beam Lithography System as can be seen in the pictures. This system came out of a working environment. However, it's untested, therefore, it's sold as-is as described. We don't know if this system is complete or not so please bid accordingly. Included in this auction is the following as pictured/described ONLY: 1x EBEAM Computer(no hard drive included) with the following boards: 1x PC DAC Andimess 529 Board. 1x Andimess 533 Multiplizierer Board. 1x Hardlock E-Y-E Elphy Quantum Dongle. 1x Raith Typ. BB-Ampl. 200V Console Unit Various Connecting Cables as shown. Nothing else is included. The estimated shipping calculator is not always accurate. I only charge actual shipping cost so please wait for me to send you the total price before payment. This also applies to Canadian residents ... moreplease wait until I get back to you with your total including shipping and applicable taxes. IF YOU HAVE A 0 OR NEGATIVE RATING. PLEASE CONTACT US PRIOR TO BIDDING OTHERWISE YOUR BID MAY BE CANCELLED. PLEASE MAKE SURE YOU KNOW WHAT YOU ARE BIDDING ON BEFORE PLACING A BID OR ASK FOR MORE DETAILS! Canadian buyers will have to add the appropriate GST/HST on purchase price. This item is sold AS-IS and AS DESCRIBED. Payment is expected within 5 business days after end of auction. Please email me if you have any further questions or if you would like other accepted payment methods. I will leave feedback after I have received feedback.
Applied Materials AMAT Ceramic ESC 0190-A1491
AMAT Ceramic ESC 0190-A1491 AP32DDR rev B BIPOLAR. 200/300MM Solid Ceramic Textured. Substantial part here. No doubt expensive retail. Perhaps $30,000 It appears to be in very good condition. We are not able to test this part. We are selling it"as-is" Happy to answer questions. Thank you.
Shin-Etsu FOUP 300EX
Shin-Etsu FOUP 300EX Very nice u nit. Happy to answer questions. Thank you.
Semitool SRD Spin Rinser Dryer ST-860 2 Stack -- Clean, 1 Thornton Probe
Semitool ST-860 Double Stack Spin Rinser Dryer Semitool ST-860 Spin Rinser Dryer Dual Axes- Designed to accommodate two rotors Accommodates two each 125mm or 100mm wafer cassettes per run or smaller- 5" wafers. 4" Wafers, 2" wafers or substrates Controllers: C225/P225 Upgrades: WR-20 Two Reservoirs& plumbing upgrades for resistivity Th ornton resisitivity probe cell included in lo wer unit. Faciliti zed. See pictures Light Switches: Heater Holding System Power Door Sealed Start Switch Stop Switch Lower opening front access panels for controls Rear access for plumbing panels All rear plumbing appears to be in tact and operational. Controllers are connected. System is on wheels and easy to move, has been wrapped to remain clean and wrapping removed for pictures. System was operational when decommissioned and purchased from ... morelocal fab. This is a single owner system; has been in clean dry storage since purchased by seller. Currently In Stock in our Union City. CA warehouse, please come by and take a look! We'd like to show off the good condition this system is in and let you see for yourself. System is being sold As Is. We have no DI water pad, no way to test. It can be picked up free of charge at our warehouse or we can make shipping and crating arrangements at customer request and expense. Thank you for stopping by this auction& let us know if you need rotors.
PRI Equipe Brooks Automation TSB-407-CE-V2 Double Arm Wafer Transfer Robot
PRI Equipe Brooks Automation TSB-407-CE-V2 Double Arm Wafer Transfer Robot Description For sale is a Brooks Automation TSB-407-CE-V2 Double Arm Robot that was removed for a working enviroment. This unit is used and in good working condition. Item is guaranteed non-DOA and covered under our 14-day return policy. This unit is very heavy and will require freight shipping. Please email for a quote. Auction Includes: PRI Equipe Brooks Automation TSB-407-CE-V2 Double Arm Wafer Transfer Robot. Cables Pictured Only items pictured are included. If a part is not pictured or mentioned in the description then it is not included in the sale. If you are unsure about any aspect of this item please send an email and ask questions before bidding. We do offer combined shipping discounts and free local pickup. Email for details. OceanTech WE BUY& SELL IT ... moreEQUIPMENT Guarantee 14 DAY RETURN POLICY: We want our Customers to be happy with their purchases. So customers are welcome to return items within the first 14 days of receiving the item if they are unhappy with the item. There will be a 20% restocking fee on any 2nd return from the same customer that are not due to a hardware failure within the first 14 days of receiving the item. There will be no restocking fee for items that break in transit or have a hardware failure in the first seven days the customer receives it. Buyer is responsible for return shipping charges unless there was a hardware failure We will not issue refunds for items we deemed tampered with or are dissembled by the customer. Our Customer Support We do our best to ensure that each and every transaction is free of problems and issues. If you have any questions or concerns we are here to help. Per eBay's policy and guidelines you must contact us before opening a case. We ask that you only open a case as a last resort and will do everything we can to prevent you from needing to do so. If you would like to Contact us! Please use the eBay message system under the"contact seller" hyperlink. Hours: We
C100133 Ion Systems 5024(e)-CE Controller w/(5) 5285e-22 Aerobar Ionizers
C100133 Ion Systems 5024(e)CE Controller w/5) 5285e-22 Aerobar Ionizers(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# C100133 This lot includes an Ion Systems 5024(e)CE Controller along with five 5285e-22 Aerobar Ionizers and interconnect cables. As shown. These items look to be in good condition, showing some minor signs of wear. They all power up, and the ionizers make a buzzing sound, and the lights on the controller and the bars alternate. However, this is the extent of my testing of these items, and they are being sold as-is. Approximate overall unpacked dimensions: 23"L x 10"W x 5"H. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in ... morethe item description. See additional terms of the auction below Shipping. Buyer pays a fixed shipping and handling fee of $37.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) All international shipments(including Hawaii and Alaska residents) will be quoted based on winning bidders shipping address. The unpacked weight of this item is 12.00 Pounds Orders will ship 1-2 business days(excludes weekends and holidays) after receipt of confirmed/verified Paypal payments. We do not process orders nor ship on weekends or holidays. Auction Terms. Upon end of auction. The winning bidder should proceed to"CheckOut" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as advertised. As is and without warranty. Questions should be asked prior to bidding as all sales are final PAYMENT TERMS ARE PREPAID ONLY. Payments. ALL AUCTIONS ARE PREPAID ONLY. We accept Paypal payments that are US and Canada Verified with ship-to US and Canada confirmed addresses only. We accept credit card payments through confirmed/verified Paypal accounts only. California Sales Tax For all Calif
AMAT Applied Materials Throttle Valve 0010-09174
AMAT Applied Materials Throttle Valve 0010-09174 QTY: 1. We believe this unit is complete and may be in good working condition. Good value for the money on this part. Happy to answer questions. Thank you. 1?RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2B%3E%3B1%3F-13ec65b6eb2-0x105-
Brooks Automation Fixload 6 Wafer Load Port 013096-148-20
Brooks Automation Fixload 6 Wafer Load Port 013096-148-20 Description For sale is a Brooks Automation Fixload 6 Wafer Load Port. This device was removed from a working environment and is in good physical condition. The lights underneath illuminate when powered on but the lights up top do not. We do not know what the problem is and therefore are selling this particular load port for parts/repair only. This unit is very large and heavy so it must be shipped freight. You can arrange your own courier or we can supply you with a quote. Auction Includes: Brooks Automation Fixload 6 Wafer Load Port Only items pictured are included. If a part is not pictured or mentioned in the description then it is not included in the sale. If you are unsure about any aspect of this item please send an email and ask questions before bidding. We do offer combined ... moreshipping discounts and free local pickup. Email for details. OceanTech WE BUY& SELL IT EQUIPMENT Guarantee 14 DAY RETURN POLICY: We want our Customers to be happy with their purchases. So customers are welcome to return items within the first 14 days of receiving the item if they are unhappy with the item. There will be a 20% restocking fee on any 2nd return from the same customer that are not due to a hardware failure within the first 14 days of receiving the item. There will be no restocking fee for items that break in transit or have a hardware failure in the first seven days the customer receives it. Buyer is responsible for return shipping charges unless there was a hardware failure We will not issue refunds for items we deemed tampered with or are dissembled by the customer. Our Customer Support We do our best to ensure that each and every transaction is free of problems and issues. If you have any questions or concerns we are here to help. Per eBay's policy and guidelines you must contact us before opening a case. We ask that you only open a case as a last resort and will do everything we can to prevent you from needing to do so. If you would like to Contact us!
LASER CONDENSER LENS MINOLTA Ltd JAPAN, CONDENSOR LENS 991203751TI
VERY GOOD LASER CONDENSER LENS by MINOLTA. JAPAN. NICE GLASS in EX+ SHAPE. EXCEPT REAR ELEMENT HAS SOME CLEANABLE DUST ON. FRONT ELEMENT LOOKS MINTY. FREE SHIPPING WORLDWIDE. 1t.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bhpojt%3Af%3E1t-13ec6c9bad0-0xfd-
Brooks Automation MagnaTran 7 Wafer Transfer Robot, P/N 003-1600-32, 0302-10272
You are bidding on a Brooks Automation wafer transfer robot. Part# 003-1600-32, serial# 0302-10272. PTB packs parcel shipments utilizing foam in place packaging with care. We ship heavy items(we draw the line at 100 lbs in most cases) boxed and strapped to a pallet. We will bill shipments to your shipping account or add into the invoice total. Our preferred carrier is FedEx. 626-334-0500 1331 Mountain View Circle Azusa. CA 91702
ULTRA DEFINITION PRECISION THETA 65-2 LENS SEMICONDUCTOR PROJECTION LASER LENS
ULTRA SHARP THETA 65-2 PROJECTOR LENS by US PRECISION. USA. From a DLP projector. SEMICONDUCTOR' PRODUCTION T.I. LENS. GLASS in EX SHAPE. FRONT ELEMENT LOOKS MINTY. WIDE ANGLE OPTICS F.P.L. near 50 mm. REAR D50MM. REAR ELEMENT D33MM. FRONT D90MM. FRONT ELEMENT D80MM. 4127390-0001C 961004US. 199703240815594A FREE SHIPPING WORLDWIDE. MADE IN USA MORE then 2 in STOCK.
50 ULTRA SHAR PRECISION THETA 65-2 LENS SEMICONDUCTOR PROJECTION LENS LASER LENS
ULTRA DEFINITION WIDE ANGLE 50mm PROJECTION LENS. ULTRA SHARP THETA 65-2 PROJECTOR' LENS by US PRECISION. USA. From a DLP projector. SEMICONDUCTOR' PRODUCTION T.I. LENS. GLASS in EX SHAPE. FRONT ELEMENT LOOKS MINTY. FEW in STOCK. REAR D50MM. REAR ELEMENT D33MM. FRONT D90MM. FRONT ELEMENT D80MM. FREE SHIPPING WORLDWIDE. MADE IN USA
Strasbaugh Model 6DS-SP CMP Planarizer
150 mm wafer process. Two send and receive elevators, Two polish heads, one polish table, secondary polish table. System has been upgraded with the ViPRR carriers. Additional information can be found at: Sold as is. Buyer responsible for shipping FOB.
Brooks MagnaTran7 300mm WAFER TRANSPORT ROBOT ARM 002-0016-34 MAG7 ARM
Brooks MagnaTran7 300mm WAFER TRANSPORT ROBOT ARM PN: 002-0016-34 TESTED WORKING CONDITION Information Condittion used a+++++++++. This unit is fully tested prior to shipping Shipment We will ship your order within 2-3 business days via post airmail. It will usually take 10-14 business days after shipment. Return Policy We carefully inspect the item before shipment. In case you found a defect on the purchase. We will exchange or refund it Contact Us We are willing to respond to your inquiry If you have a question or problem.Please contact us first. It is the fastest way to settle your concern or issue.
Brooks MagnaTran7 300mm WAFER TRANSPORT ROBOT MAG7 ROBOT 003-1600-32
Brooks MagnaTran7 WAFER TRANSPORT ROBOT P/N: 003-1600-32 TESTED WORKING CONDITION Information Condittion used a+++++++++. This unit is fully tested prior to shipping Shipment We will ship your order within 2-3 business days via post airmail. It will usually take 10-14 business days after shipment. Return Policy We carefully inspect the item before shipment. In case you found a defect on the purchase. We will exchange or refund it Contact Us We are willing to respond to your inquiry If you have a question or problem.Please contact us first. It is the fastest way to settle your concern or issue.
used LOT polished reclaim Dummies silicon wafers UniSil Exsil Memc
used LOT polished reclaim Dummies silicon wafers UniSil Exsil Memc In 5 Empak cassettes and Ultrapak 100mm PH9100 cases Unknown used condition. Actual item pictured. Notice: Before purchase. Please be sure to check correct item type/model needed. PAYMENT: To all buyers: full payment is due within 48 hours after auction/listing's end with PayPal. If other payment preferred or any payment questions please call us at 916-225-3615(leave message if no answer) If there will be any delay in payment, please let us know, it is just common courtesy. If you pay with a bank draft through PayPal and your payment is pending do not expect us to ship the item until payment clears. If you need to be verified with PayPal to pay for higher priced items please do so before bidding or buying. We will ship your item(s) within 1-2 business days after receipt ... moreof a cleared payment. We are willing to combine shipping on items that can physically be shipped together to save our customers money. For International Buyers: We ship most items worldwide. Contact us for any questions. do not pay for bought items until you receive shipping quote or an invoice from RedlineMerch. Otherwise same payment rules apply. For shipping costs please contact us before purchase and we will give you a quote. Most items will be sent through USPS Priority Mail or First class mail International. Always be sure your shipping address is correct and that there is a phone number at which you can be contacted at by the shipper or customs if there are any questions or concerns. Customs and duty fees and/or taxes are the buyer's responsibility. We are not responsible for your customs/duty fees/taxes! SHIPPING: We will ship your item(s) within 1-2 business days after receipt of a cleared payment. We are willing to combine shipping on items that can physically be shipped together to save our customers money. If you wish to use your shipping service or shipping account# please let us know upon purchase. let us know if you have any special shipping requests.
Semitool 2" 50mm 5cm SRD Spin Rinse Dryer Semiconductor Wafer Cleaning Machine
Questions? Call us: 1-877-328-9236. Semitool 2" 50mm 5cm SRD Spin Rinse Dryer Semiconductor Wafer Cleaning Machine. Manufacturer: Semitool Model: Unknown Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Semitool Condition: Used. Comes in non-original packaging. This SRD is in Good cosmetic condition. It looks quite clean inside and has only minor stains outside from prior use. Notes: This unit is in Good working condition. The previous owners informed us it worked for them. We verified that it powers on and runs through a timed cycle with no problems. When we gave it air. It clamped the door shut and gave a green Door Sealed light during a cycle. After the cycle the door lock released. The rotation speed and speed indication adjusted properly with the speed adjust knobs. The timers adjusted ... moreproperly and the controller counted down and stopped at the end of the allotted time. We do not have a DI water setup so we did not fully test this SRD. No other testing was done. No manuals, cords/cables, or additional items are included if not listed or shown. Included: 1) Semitool 2" SRD, 1) Fluoroware PFA A72-20M, Specifications: Manufacturer: Semitool, Spin Rinse Dryer for semiconductor wafers, For 2" 50mm) wafers, Rotor: A72-20M. 2800RPM max. Power: 120V AC, SKU: N10D014 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. Do
Western L-6039 Nitrogen Desiccant Purge Dry Box 8-Shelves 4-Doors 32"x33"x75"
Questions? Call us: 1-877-328-9236. Western L-6039 Nitrogen Desiccant Purge Dry Box 8-Shelves 4-Doors 32"x33"x75" Manufacturer: Western Electric Model: Dry Box Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Western Electric Condition: Used. Comes in non-original packaging. It has scuffs and scratches from normal use. Notes: These items were not tested since we do not have the proper resources. NOTE: The doors do not close tightly and some of the handles are loose. The gaskets are in good condition with no signs of cuts or tears. One of the boxes is missing four shelf brackets. The copper pipes have kinks in them and will have to be replaced for proper operation. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Western Electric L-6039 ... moreNitrogen N Dry Box, Specifications: Manufacturer: Western Electric, Equipment Name: Dry Box, Order# L-6039, Overall Dimensions: 32"W x 33"D x 75"H, Top Box Dimensions: 30"W x 33"D x 32.5"H(top connector) Bottom Box Dimensions: 30"W x 33"D x 42"H(legs) 4 Adjustable Perforated Shelves Per Box, Shelf Dimensions: 29.5"W x 23"D, SKU: N02L007 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts internationa
S&K Vapor Dryer IPA Isopropyl Alcohol Wafer/Parts Washer for PARTS / Repair
Questions? Call us: 1-877-328-9236. S&K Vapor Dryer IPA Isopropyl Alcohol Wafer/Parts Washer for PARTS/ Repair. Manufacturer: S&K Model: Vapor Dryer Condition: For Parts or Not Working Price: The photos show the exact item(s) the buyer will receive. Manufacturer: S&K Condition: For Parts or Not Working. This unit is in Good cosmetic condition with only minor(normal) blemishes from prior use. There are scuffs/scratches. Mostly around the bottom, some light surface rust outside the tank under the covers, and some residues from old adhesive labels. Notes: This machine is in PARTIAL working condition. The VAPOR and DRY timers have a display regardless of whether power is on or off. We applied power to the input circuit breaker and switched on and nothing happened. We are not expert in this type of equipment so no other testing was ... moredone. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) S&K alcohol vapor dryer, Specifications: Manufacturer: S&K Products International, Alcohol Vapor Dryer, Uses isopropanol to remove water and other contaminants from parts and leave no residue, Requires gas and cooling water facilities(not included) Power: 208V 3phase 40A, SKU: M37D001 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international
Axcelis GSD End Station Bellows
Removed from system.Cleaned and leak tested. no leaks.
Tokyo Electron Limited Telius controller
One. Tokyo Electron Limited Telius controller. For parts, non working. Unit has a tag stating that the unit will not boot past the Telius screen. Hard drive has been removed. SOLD AS-IS. Email any questions. Buyer pays shipping. Buyer prepays with check or paypal. Item ships when funds clear. Bidders with negative feedback may have their bid negated.
ETO RF Generator Controller Board ABX-X355 untested 0190-02977 Rev A
This unit. Looks in good condition, It came from a working tool, there are 2 push buttons missing, but otherwise ok. Buyer can use their own shipping account. UK sales tax for internal UK sales. UNIT DETAILS- CE COMPLIENT Model Number: ABX-X355 SERIAL NUMBER: 9732254 We also repair RF and DC units. www.rfsemiconductor.co.uk
APPLIED MATERIALS CABLES 0150-78379 REV P4 HS2 DRV HS2 J4 0150-03352 MOLEX
APPLIED MATERIALS CABLES 0150-78379 REV P4 HS2 DRV HS2 J4 0150-03352 MOLEX a1.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*3Ad%60%3Ea1%3E-13ed144e3fe-0xf7-
TOKYO ELECTRON RING UPPER SHIELD SQ-GRV ES3D05-250022-V1
TOKYO ELECTRON RING UPPER SHIELD SQ-GRV ES3D05-250022-V1
Watkins Johnson 905098-001 Overtemp Board OT-CCA
Auction is for a Watkins Johnson#905098-001- Overtemp Board(OT-CCA) Board is believed to be unused(per our customer) But since we don't have the original packaging, we are selling it as used- guaranteed NO DOA. Please make sure this will work for your application prior to bidding. Payment is appreciated within 24 hours of close of auction. Item will be re-listed after 3 days if payment has not been received. Free shipping to the US. Thank You 7:ce.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*14%3E7%3Ace-13ed20b7723-0xfa-
AE Advanced Energy RFG-1250 RF Generator, Model 3155027-000M
AE Advanced Energy RFG-1250 M/N 3155027-000M S/N 35730 208VAC. 3 Ph, 10A 1250 Watts@ 13.56 Mhz Unable to test. 14 day right of return. Quoted shipping price is for lower 48 US states only. Logan Technologies. LP 254-773-4070
Newport Pre-Aligner Robotic Wafer
Newport Pre-Aligner Robotic Wafer Description Newport Pre-Aligner Robotic Wafer Manufacturer Newport Manufacturer Part Number Pre-Aligner Item/Ship Weight 24 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping We will professionally package. Using instapak foam-in-place ... moreif needed, and insure this product for safe delivery. Domestic shipping rates are available using the shipping calculator below, subject to terms& conditions. Please contact us for international shipping rates. Local pickup is encouraged for which all shipping and handling fees will be waived PID 44529 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package is too large to qualify. As such. We may need to contact you before shipping to make an adjustment to a different method which may change the price for shipping as well. This bug has been reported, but they gave no timeline for a fix, so if you are purchasing an item on the larger side(boxed size approx. 20x20
MAPCO ROBOT TYPE 8162 (WAFER LOADER) 6 INCH (150 mm)
MAPCO ROBOT TYPE 8162(WAFER LOADER) 6 inch(150 mm) 115/230 VAC* 50/60 Hz* P Max 250 VAC* Key Pad* We are unable to test Sold as is
SIGNATONE S-1004 TEMPERATURE CONTROLLER
Signatone S-1004 Temperature Controller Overall good physical condition Unit does power on You will receive the exact item pictured Sold as is
Tokyo Electron ACT 12 Clean Track 849 Chilling Hot Plate Process Station (CHP)
This Tokyo Electron TEL ACT 12 is used working surplus. The physical condition is good. But there are some minor scratches from previous use and handling. TEL ACT 12 Chilling Hot Plate Station Includes 2985-41180-w6 CHP PEB SUB UNIT Assy. 2985-437216-w8 Base(ACT 12-CHP) Assy. Col 2980-091282-12 Col Plate AT12-SP-NDK 2985-410708-W2 CHP Plate Support Assy. 2985-411097-W1 CHP Chamber Assy. 2980-091282-12 Col Plate AT12-CP-NDk Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment ... moreis not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 12 Can't find the answer you're looking for? contact us! Business Hours: 8am to 5pm MST Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30am to 4pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) A 7% Sales tax applies to NEW MEXICO residents(or provide a resale tax ID for our records. A 8.8% Sales tax applies to ARIZONA residents(or provide a resale tax ID for our records. We cannot process the order until we receive the NM or AZ sales tax or a copy of your tax ID. All
SEMITOOL ST-240B-1 SPIN WASHER NICE
THIS IS A NICE SEMITOOL ST-240B-1 SPIN WASHER GOOD CONDITION# Shipping on this item is 175.00 to the lower 48 states. If you are from overseas or Alaska or Hawaii please email me for a shipping quote. Please understand if it is going out of the country it can take between 3 and 6 weeks depending on customs. If item is weight is over 150 pounds too large for Fedex it will need to be sent Freight This will require a commercial address name of Business phone number if it is going to a residential address there will be extra 100.00 charge also if lift gate is needed that is a additional charge Please email me with this information. If it is not shown in the picture then it probably does not come with the item please email me if you have a question before purchasing. Paypal Is accepted. All Items will be shipped out within 48 hours of purchase ... moreif it is a item that must be palletized I need a commercial address and phone number. If you have any problems with the item please email us so we can work the problem out. Thank You For Looking At Are Item.
Nikon MGK 31110-2K-23 Robotic Pre-Aligner
Nikon MGK 31110-2K-23 Robotic Pre-Aligner Subject to prior sale! Photos show everything that is included. For more information. Or to contact us, please feel free to visit our ME page. 14 day Right of Return if not satisfied. Thanks for looking! Please visit our store for more great items! 7715- 3MZ-RR9B
Applied Materials AMAT TDK TAS 300 FOUP F1 Load Port TAS300 300mm Loader
TDK TAS 300 FOUP Load Port Type F1. 100-240V, 50/60Hz, 1A FLA. Shipping dimensions: 40"x 64"x 30" includes crate. Prepared for international freight] Six units are available. Offer is per unit. Contact Justin at 503.464.6013 with any questions. The unit is located in Portland. OR. WORLDWIDE SHIPPING AND PACKAGING COSTS TO BE DETERMINED BY DESTINATION. Please read the terms and conditions below so that you understand how all our items are sold. Payment Options: ALL PAYMENTS ARE EXPECTED WITHIN 48 HOURS OF AUCTION CLOSE. WE UNDERSTAND THAT THERE ARE EXCEPTIONS. BUT COMMUNICATION IS KEY. PLEASE DO NOT BID IF YOU CANNOT PAY FOR THIS ITEM IN A TIMELY MANNER. Shipping Options: Smaller Items: Minimum shipping charge of $15.00. Items over $500. Must be insured at the buyers expense of $0.50, per $100. Freight Items: The buyer is responsible ... morefor coordinating shipment and is responsible for all freight and rigging(if required) costs. We can arrange freight shipments. You will be billed exactly as quoted by my freight forward. With no mark-up, however at times this may be more than the cost of the actual item. Please ask for a quote prior to bidding, so there are no surprises. Freight items typically require payment by bank wire transfer or certified funds, since shipments and delivery confirmations typically do not conform with Paypal requirements. Local Pick-Ups: This option is available on many auctions. However we are not always on-site where the item is located. Please inquire before bidding. Typically there will be no additional charge for a local pick-up. However some larger items may require an additional rigging fee upon pick-up. Terms and Conditions: Most items that we sell are from plant closures and business liquidations. We typically wholesale directly from the facility closure. This means the items are still or have very recently been in production and under power. We strive to only sell quality items and do the best job possible in describing the items accurately. However. Many of these items h
Entegris Fluoroware 200mm Cassette: Wafershield X9200 with 0207G Carrier
Entegris Fluoroware 200mm Cassette: Wafershield X9200 with 0207G Carrier 3 Pieces: The 200mm wafer cassette Plus the Two Piece Carrier Brand New in Original Packaging Still Sealed. One Opened for Pictures Perfect Condition: No chips. Cracks, breaks Believe the cassette is Teflon and the Carrier is Poly. Not sure and needs to be confirmed
AMAT AE ADVANCED ENERGY 0190-05934 MDX PINNACLE 6kW POWER SUPPLY 3152412-218
Manufacturer: ADVANCED ENERGY Model: 3152412-218; 0190-05934 Condition: Used Notes: Used surplus removed from a major U.S. fab. Power suppl is in good condition Included: 1) AE ADVANCED ENERGY 0190-05934 PINNACLE 6kW POWER SUPPLY 3152412-218 Specifications: 6kW Model No. 3152412-218 AMAT No. 0190-05934 Serial No. 29961 480V 3 Ph
REWORKED Rotor A182-60MB-0215 - Semitool STI Spin Rinser Dryer SRD - NOW #60MC
PLEASE NOTE: This was apparently an A182-60MB-0215 before it was RE-WORKED& now it has a different(larger) mount as shown. Rotor for mounting in Spin/Rinser/Dryer Stainless Steel Holds a cassette of 25 six inch wafers Approximately 15 lbs. 76385 500R 0042-501 BAL 0-25 WELD B.B. 2-21-96 2000 RPM MAX H Bar IN BAL 0-25 ``d.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3E%60%60d-13ed7759f80-0x102-
Thermo Scientific Niton XL3t Handheld/Portable X-Ray Fluorescence (XRF) Analyzer
Niton XL3t 300 Consumer Pb Analyzer- Calibrated For Lead Only. Calibrated for(Bp) Pb only in metals; Pb only in non-metals. Ap) Pb only for painted products. Standard Source 50kV Au Anode X-Ray Tube*No radioactive isotopes* Good Condition. Was Only Used A Very Short Period Of Time.
CLEAN - 4 Stack MRL Diffusion Furnace, LPCVD & Atmospheric, 100mm
MRL 100mm LPCVD& Atmospheric Diffusion Furnace: Original Manufacturer: MRL Atmospheric& LPCVD Four Stack Furnace Dimensions: 66” long element with 10.75” clear bore; Overall Dimensions: 84” tall(7’ X 30’ Wide X 84” long; Heating: Four each Black Max heating elements rated at 1200 degrees C; Current system includes Type K thermocouples; Load Station: Dual rod cantilever configuration on Tubes#1. 2; SiC paddle configuration on Tubes#3& 4(paddle on Tube 3) Station loader with Cryco drive heads; Load Station Assembly: Load station assembly included with horizontal blowers; Control System: Solitec upgraded tube level controllers on Load Station& Honeywell PC based system with PC. Keyboard and PC stand included; Gas Cabinet: Gas Cabinet with Unit MFC’s included; Upgraded cabinet with room for LP pumps whenever desired to add or ... morechange with LP process. Current Configuration: Tube#1 Anneal; Heating element: 1200 Degrees C Tube#2 Nitride; Heating element: 1200 Degrees C Tube#3 Poly; Heating element: 1200 Degrees C Tube#4 LTO; Heating element: 1200 Degrees C Furnace in very good condition. Please come by and preview in our warehouse. Many more pictures available. Feel free to ask for anything in particular. Thank you for stopping by!
6 INCH SILICON WAFERS 26 lb mostly polished
This auction is for 26 lb of 6 inch silicon wafers Most of these wafers are polished one side some are printed some are scratched many are in serviceable condition I had been using them to test and prove edge grinders and dicing saws The shipping cost will be higher then the 25 pounds because they will have to go in some kind of reinforced shipping container Thanks for looking
NEW Engineered Solutions MN 010-00019 Chemical Distribution Module/Wafer Process
Item up for sale is a: NEW Engineered Solutions M/N 010-00019 Chemical Distribution Module/Wafer Process Item is used and is untested other than what is indicated below: If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. 10 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. ============================================================================ Terms of Sale Payment Options PayPal only or contact us for payment methods to pay on pickup. Bank transfers or payments using a Cashier’s Check may be available. Contact us for additional information and fees. RETURNS Warranty for Items ... moreshipped in the US 14-Day Right to Return- Buyer has 14 days to test item to determine its operational condition unless it was listed as Parts-Only or As-Is. Should the item be received non-operational you may return the item for refund of the purchase price. 14-day period starts the day you receive the item. You cannot return an item because it is not compatible with the system you installed the item into. Please insure that the item you are purchasing is compatible with the application or in the system you intend to use it. Warranty for Items shipped outside the United States All international sales shipped to a destination outside the US are non-refundable. All international sales are final. SHIPPING Shipping within the United States Buyer is responsible for all shipping costs including returns. Please note for domestic shipments(within US) we ship FedEx ground only. But you can use UPS ground shipping rates(calculated automatically by eBay) to estimate the shipping charges. Actual FedEx ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FedEx does not ship to PO Boxes- please pr
Orbital Services High Purity Gas Purge Panel, Argon or Any Inert Gas APTech
Available for purchase is one Orbital Services high purity stainless steel gas panel featuring a APTech regulator Panel was removed from Argon service and sealed under vacuum to maintain it's integrity. Panel features a vacuum venturi generator. Three check valves and six valves to allow panel evacuation of the panel high side, low side and pigtail to the cylinder valve(pigtail not included) Panel construction: All 316L stainless steel orbitally welded with Ultra High Purity VCR face seal components electropolished to SC-01 specification(5 Ra surface roughness) Panel components: APTech Tied Diaphragm Regulator#AP1510SM 4PW MV4 MV4 0 0 Six(6) Swagelok manual quarter-turn Ultra High Purity diaphragm valves#6LVV-DPHFR4-P Three(3) Swagelok all welded check valves#CW-NFS Venturi styl e vacuum generator
Quintel Wafer Mask Aligner
Quintel Mask Aligner Up for auction is this used Quintel Mask Aligner. It is overall in pretty decent cosmetic condition. But looks to be missing a few parts and have a few loose wire. It doesn't include any eyepieces. There is a 2-wire cord coming from the unit with a green ground wire. There is a large port in the back, I believe for connection to another piece or to control it. The base moves around some and a few other adjustments seem to work okay. We have only done very limited testing to this unit. It will be sold completely as-is. Likely needing something before it can be put into use. Feel free to ask any questions and thanks for bidding. This item is too large and heavy to ship with UPS Ground. As such. Buyer has the option of either having the unit shipped via freight, or picking it up in person from our warehouse here in Virginia. ... moreBe aware that if shipped freight, buyer assumes all shipping and packaging costs. Please contact us with ALL of the following information for a shipping quote: Your zip code. Whether it will be going to a commercial or residential address, and if you have access to a loading dock or fork lift for unloading. Quick Stop Auctions. Inc. Feel free to ask questions via email or(540)381-3011 17339. Powered by
15pc wafer cassette carrier lot Empak & Fluoroware A188-60M, A72-39M, A72-40MB
15pc wafer cassette carrier lot Empak PX9125-04. PX9200-02, Fluoroware A188-60M. A72-39M, A72-40MB, PA72-39M, PA72-40B, PA182-50M Good working used condition. Actual item pictured. Notice: Before purchase. Please be sure to check correct item type/model needed. PAYMENT: To all buyers: full payment is due within 48 hours after auction/listing's end with PayPal. If other payment preferred or any payment questions please call us at 916-225-3615(leave message if no answer) If there will be any delay in payment, please let us know, it is just common courtesy. If you pay with a bank draft through PayPal and your payment is pending do not expect us to ship the item until payment clears. If you need to be verified with PayPal to pay for higher priced items please do so before bidding or buying. We will ship your item(s) within 1-2 business days ... moreafter receipt of a cleared payment. We are willing to combine shipping on items that can physically be shipped together to save our customers money. For International Buyers: We ship most items worldwide. Contact us for any questions. do not pay for bought items until you receive shipping quote or an invoice from RedlineMerch. Otherwise same payment rules apply. For shipping costs please contact us before purchase and we will give you a quote. Most items will be sent through USPS Priority Mail or First class mail International. Always be sure your shipping address is correct and that there is a phone number at which you can be contacted at by the shipper or customs if there are any questions or concerns. Customs and duty fees and/or taxes are the buyer's responsibility. We are not responsible for your customs/duty fees/taxes! SHIPPING: We will ship your item(s) within 1-2 business days after receipt of a cleared payment. We are willing to combine shipping on items that can physically be shipped together to save our customers money. If you wish to use your shipping service or shipping account# please let us know upon purchase. let us know if you have any special ship
11pc metal 6" wafer cassette carrier lot Tegal, H-Square 62
11pc metal 6" wafer cassette carrier lot some marked Tegal. H-Square 62 various sizes. Good working used condition. Actual item pictured. Notice: Before purchase. Please be sure to check correct item type/model needed. PAYMENT: To all buyers: full payment is due within 48 hours after auction/listing's end with PayPal. If other payment preferred or any payment questions please call us at 916-225-3615(leave message if no answer) If there will be any delay in payment, please let us know, it is just common courtesy. If you pay with a bank draft through PayPal and your payment is pending do not expect us to ship the item until payment clears. If you need to be verified with PayPal to pay for higher priced items please do so before bidding or buying. We will ship your item(s) within 1-2 business days after receipt of a cleared payment. ... moreWe are willing to combine shipping on items that can physically be shipped together to save our customers money. For International Buyers: We ship most items worldwide. Contact us for any questions. do not pay for bought items until you receive shipping quote or an invoice from RedlineMerch. Otherwise same payment rules apply. For shipping costs please contact us before purchase and we will give you a quote. Most items will be sent through USPS Priority Mail or First class mail International. Always be sure your shipping address is correct and that there is a phone number at which you can be contacted at by the shipper or customs if there are any questions or concerns. Customs and duty fees and/or taxes are the buyer's responsibility. We are not responsible for your customs/duty fees/taxes! SHIPPING: We will ship your item(s) within 1-2 business days after receipt of a cleared payment. We are willing to combine shipping on items that can physically be shipped together to save our customers money. If you wish to use your shipping service or shipping account# please let us know upon purchase. let us know if you have any special shipping requests. RETURN POLICY: In the case
BUEHLER VIBROMET POLISHER 67-1517-160 Parts Main Base Bottom & Under Cover
Description- BUEHLER VIBROMET POLISHER 67-1517-160 Parts Main Base Bottom& Under Cover Condition: Used part. When unit was together it turned on and power light turns on. Motor did not work is just hummed. Cords need to be replaced. See Photos. SEE OUR OTHER AUCTIONS FOR SIMILAR ITEMS Sorry the photos are not perfect in color. I am not a professional photographer:o) Please examine all pictures; I try to take enough so you can determine condition of items you will receive. Please contact me if you have any questions before or after auction ends. Shipping weight approximately 17 pounds- Shipping Time- Items typically shipped within three days of receiving payment. Most items shipped USPS – Parcel Post rates. Delivery takes 2-9 business days. Sometimes longer depending on package and delivery location. PLEASE UNDERSTAND I HAVE NO CONTROL ... moreOVER DELIVERY TIME OF PARCEL POST PACKAGES. PACKAGES ARE SHIPPED FROM THE WEST COAST AND MAY TAKE MORE THAN 9 DAYS TO REACH EAST COAST. PLEASE TAKE THIS IN CONCIDERATION WHEN LEAVING SHIPPING TIME FEEDBACK. UPON REQUEST faster delivery services available. Will only charge actual cost. Shipping Cost- I use EBay’s postage calculator to estimate cost. If shipping is overcharged I will refund the difference back to your PayPal Account. k5n.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B26rkpg%7C%3Ek5n-13ee10e2c9c-0xf2-
HP CO2 10791A LASER HEAD CABLE
RECEIVED AS SURPLUS Manufacturer: HP MODEL# CO2 10791A I ONLY HAVE WHAT IS PICTURED-IF YOU WOULD LIKE MORE PICTURES OR HAVE ANY QUESTIONS JUST LET ME KNOW GUARANTEED WORKING
Pall Mini-Gaskleen GLFPF3000VMM4AM Inline Gas Filter Assembly
All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item. The Item may vary from picture as manufacture may change model appearance. MHZ ELECTRONICS INC. CALL NICK- 1-866-MHZ-ELEC- MHZ ELECTRONICS INC. CALL NICK- 1-866-MHZ-ELEC- MHZ ELECTRONICS INC. CALL NICK- 1-866-MHZ-ELEC- MHZ ELECTRONICS INC. CALL BEN- 1-866-MHZ-ELEC- Pall Mini-Gaskleen GLFPF3000VMM4AM Inline Gas Filter Assembly comes with what you see in the pictures. If you don't see it. You probably won’t get it. Specifications are from manufacturer and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. eBay’s description of“USED” and our description ... moreof“USED” is different, therefore because eBay requires the filling in of this field, we state as“USED” PLEASE READ OUR“CONDITION” for our description of“USED” Part Number: GLFPF3000VMM4AM Serial Number: Quantity Available Type: Mini-Gaskleen Filter Retail Price: $416.59 Condition: Looks Clean. Untested, Small Scuffs and Dings from Moving General Description: Pall Gaskleen GLFPF3000VMM4AM Inline Gas Filter Assembly Features(May Include) Stainless Steel 3000 PSI at 250 ºF 21 MPag at 121 ºC 206.8 barg at 121 ºC For More Pictures Please Click the Following: The charge for freight will be determined by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items it will be determined by the size and the care that has to go into packing an item. Most of the time. People are impressed with the job we do because we customize our own skids for larger items and we take the utmost care to provide a safe and cozy journey for your item. We strive to make our customers happy and want you to feel that we have treated you with the ultimate respect. If you have any questions please email us 9/7/2012 Nick Powered by The free listing to
AE Advanced Energy PDX 2500W MF RF Generator Power Supply 3156012-201-B
Search our eBay Store! AE Advanced Energy PDX 2500W MF RF Generator Power Supply 3156012-201-B SKU: JV-ANT-C-AEPDX2500 Condition: Used Packaging: Pallet/Skid Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge AE Advanced Energy PDX 2500W 370-430kHz RF Generator Power Supply Model: PDX- 2500 P/N: 3156012-201-B 27-155909-00 F/R B Input: 208V; 3P+PE; 50-60HZ; 5.1KVA Output: Volts: 800 Watts: 2000 Frequency: 370-430kHz Physical Condition: Good. Minor scratches/scuffs present on unit. We do not have the necessary resources in our facility to test this unit. Dimensions(L"W"H" 20.5*19*7 PLEASE NOTE. THE FDA DISCLAIMER BELOW ... moreIS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for
Nikon NSR Interferometer with HP-5517A laser and optics
Laser Interferometer System form Nikon NRS stepper. Includes HP-5517A laser. Two sets of interferometer optics with HP-10780A detectors with cables. System controller with HP boards: Binary Interface. 2X Comparator boards, Fast Pulse Converter. Removed from working environment. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*3A%3Cd%3Ff6%3E-13ee724bd4a-0xf3-
SDRAM SAMSUNG 512MB PC2-4200U
These are SDRAM SAMSUNG 512MB PC2-4200U Batch numbers: M378T6553BZ0-CD5 and M378T6553CD5-CD5. These are guaranteed to work. Additional Warranty for your piece of mind: 90 days!
FIVE (5) Cymer 05-13003-00 Teach Pendants made by Oyster Terminal
Five used(5) Cymer model# 05-13003-00 Teach Pendant Keypad Pocket terminals made by Oyster Terminal. All came from working machines that were retired We are selling these for next to nothing so they are sold"as-is" No returns Look elsewhere on ebay to compare prices under"Cymer" International buyers; contact us before bidding for shipping costs.
Trikon 162903 150mm 200mm Wafer Lift Asy part# 162903
SPECIFICATION Make: Trikon Model: Condition: Pre Owned. Like Neew Description: 150mm 200mm Wafer Lift P/N: 162903*Item is available for Local Pick up(San Jose. Santa Clara, Cupertino, Sunnyvale, etc. CONTACT Visca Equipment If you have questions or need more pictures. Please contact us. Business Hours: M-F 9AM to 6PM PST Email Address: Visca.Equipment@gmail.com
LAM RESEARCH 518-025348-001 TEMPERATURE CALIBRATION SOURCE (With Cables)
SPECIFICATION Make: LAM RESEARCH Model: 518-025348-001 Condition: USED Description: TEMPERATURE CALIBRATION SOURCE P/N: SA9617439*Item is available for Local Pick up(San Jose. Santa Clara, Cupertino, Sunnyvale, etc. CONTACT Visca Equipment If you have questions or need more pictures. Please contact us. Business Hours: M-F 9AM to 6PM PST. Email Address: Visca.Equipment@gmail.com
Mitsubishi SB-JR Superline Electric Motor 3phase
Mitsubishi SB-JR Superline Electric Motor 3phase used but in working condition-tested in our facility 1.5 kw 4 pole
COMDEL CPS500S FP0112RF AMAT 0190-13320 CPS 500A/S RF POWER SUPPLY 13.56 MHz
COMDEL CPS500S FP0112RF AMAT 0190-13320 CPS 500A/S RF POWER SUPPLY 13.56 MHz