Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
5500.00
Buy It Now
$95.28 Shipping
Condition: Used
Location: Morgan Hill, United States
Condition: New. The photos were from the real parts. Not tested. We sell it at AS Is , where is, no return. No warranty. Please double check the photos ... morebefore you buy this item. Appreciate your time!Photos are for all and the price is for one piece only. Thanks!!!Pls contact us if you have any questions regarding to ElectroGlas EG 1034 EG 2001 EG 2010 EG 3001 EG 4080 EG 4085 EG 4090 EG 4090u+ wafer prober. Appreciate your time.
$
6305.76
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Model No: CPHP General Chamber CPHG. 5086-400749-11 SENSOR,PROXIMITY CPHP #01 1. 5086-401773-11 SENSOR,PROXIMITY CPHP #02 1. 5086-400750-13 SENSOR,WATER ... moreLEAK CPHP #01 1. The physical condition is good, but there are signs of previous use and handling.
$
5460.85
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Installed Components.
$
29.99
Buy It Now
$10.00 Shipping
Condition: New
Location: Dallas, United States
-Applied Materials -AMAT -3780-02407 -Spring -EXT 4.75FL X .850 -0D X .085 -WIRE DIA.
$
2508.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Novellus Systems 02-134264-00 200mm Electrostatic Chuck is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. Serial numbers or country of manufacture may vary.
$
67500.00
Buy It Now
Condition: For parts or not working
Location: Rancho Cordova, United States
It is pristine condition! I also do not know if any parts may be missing - possibly misplaced after disassembly.
$
199.99
Buy It Now
$14.66 Shipping
Condition: New
Location: Dallas, United States
-AMAT -Applied Materials -0020-42259 -Clamp Isolator -EC WXZ -Includes (Lot Of 4)
$
90.00
Buy It Now
$12.50 Shipping
Condition: Used
Location: San Jose, United States
Location: S-Middle.
$
1504.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Model No: Prealigner Assembly. This Hitachi Wafer Prealigner Assembly I-900SRT Wafer Defect Inspection is used working surplus. The physical condition ... moreis good, but there are signs of previous use and handling.
$
150.00
Buy It Now
$12.50 Shipping
Condition: Used
Location: San Jose, United States
TURK Piconet Coupling Module for DeviceNet. Location: S-Middle. 4 Digital Outputs 0.5A.
$
1720.00
Buy It Now
$380.00 Shipping
Condition: Used
Location: Lakeland, United States
We tried to test it, it turned on for a second and then shut off right away. We haven't been able to turn it on again after that, maybe a fuse blew, or ... morea breaker maybe - we don't know.
$
1000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
$
749.00
Buy It Now
$14.50 Shipping
Condition: Used
Location: Estero, United States
$
3209.22
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
Model No: CPHP General Chamber. The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture ... moremay vary. Item Condition: Untested, Sold As-Is.
$
7501.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0010-20481 200mm Wafer Cassette Handler is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. Serial numbers or country of manufacture may vary.
$
2200.00
Buy It Now
Condition: Used
Location: Newton, United States
Model / PN: AS896A.
$
1008.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Novellus Systems 02-101527-00 200mm Wafer End Effector is used working surplus. The physical condition is good, but there are signs of previous use ... moreand handling. Serial numbers or country of manufacture may vary.
$
501.24
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The part number is not marked on the pincette. The physical condition is good, but there are signs of previous use and handling. Part No: 200mm 8" Pincette.
$
16.00
Buy It Now
$6.05 Shipping
Condition: New
Location: Shingle Springs, United States
Canon MPA-600FA, Nut, BD1-2855
$
203.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a AMAT Applied Materials Precision 5000 P5000 Mark II CVD Etcher System. The physical condition is good, but there are signs of previous ... moreuse and handling. CARRIER, 8" W/FLEX. Part No: 0020-30481.
$
847.49
Buy It Now
$29.99 Shipping
Condition: Used
Location: Cedar Hill, United States
This item is to be installed and maintained by qualified individuals. We claim no expertise in the use of this item. We accept no responsibility on the ... morecorrect/incorrect application or installation of this item.
$
55.00
Buy It Now
$12.50 Shipping
Condition: Used
Location: San Jose, United States
TURK Piconet Extension Module for IP-Link. Location: S-Middle. SFOL-1M 6603384Fieldbus.
$
55.99
Buy It Now
$13.72 Shipping
Condition: New
Location: Dallas, United States
Applied Materials AMAT 0240-45194 Top Baffle Kit 99.5 ALO 200MM Ultima HDP CVD. -Applied Materials -AMAT -0240-45194 -Top Baffle Kit -99.5 ALO -200MM ... more-Ultima -HDP CVD
$
15000.00
Buy It Now
$1000.00 Shipping
Condition: Used
Location: South Korea
South Korea.
$
280.00
Buy It Now
$17.50 Shipping
Condition: New – Open box
Location: San Jose, United States
Swagelok Spring Return Actuator MS-153-SR Item is used in good working condition. Please let us know if you have any questions and thanks for looking. ... moreG13B.
$
602.24
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Model No: SlurryScope. Part No: 100266.
$
412.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. FLANGE,INSULATOR,LEFT. Inventory # CONJ-2489.
$
299.00
Buy It Now
$119.00 Shipping
Condition: New – Open box
Location: Israel
$
8509.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Model No: ADH Adhesion Process Station. 5086-403977-11 SENSOR,CYLINDER ADH U/D #02 (Qty.1). 5086-400794-11 SENSOR,PROXIMITY ADH #01 (Qty.1). 5086-401786-11 ... moreSENSOR,PROXIMITY ADH #02 (Qty.1). The unit is missing an upper right view panel bracket, the unit appears complete (see photos).
$
801.24
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This SVG Silicon Valley Group 38465-01 is new surplus. The physical condition is great. Part No: 38465-01 Rev. E 200mm Outer Diameter: 127mm Condition: ... moreNew. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 12"x12"x12" 12 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 12 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may vary based on the packing requirements. So please allow up to 5 business days for handling(possibly longe
$
149.00
Buy It Now
$75.00 Shipping
Condition: New – Open box
Location: Israel
$
425.76
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
The physical condition is good, but there may be signs of previous use and handling. Manufacturer Refurbished. Serial numbers or country of manufacture ... moremay vary.
$
1508.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary.
$
3510.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0040-20505 Dual Zone PVD Heater Pedestal with Stop is used working surplus. The physical condition is good, but there are ... moresigns of previous use and handling. ASSY, HEATER, 8", BELLOWS PVD.
$
1205.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Rudolph Technologies F30 300mm Wafer Mounter & Defect Inspection System. Model No: Mounter Table Pneumatic Chuck Set. Part No: Mounter ... moreTable (Chuck) 2. This Rudolph Technologies F30 300mm Mounter Table Pneumatic Chuck Set of 3 is used working surplus.
$
99.99
Buy It Now
$10.00 Shipping
Condition: New
Location: Dallas, United States
Applied Materials AMAT 1410–1423 HEATER JACKET 30 MIL B LAYER UPPER ZONE 1. -Applied Materials -AMAT -1410–1423 -HEATER JACKET -30 MIL -B LAYER -UPPER ... moreZONE -1 Chamber
$
405.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Inventory # CONF-1959. Part No: Y60-0618-000.
$
37.50
Buy It Now
$12.45 Shipping
Condition: Used
Location: Cotati, United States
Leybold Heraeus Inficon 205-340B REV F. We removed these Inficon IC 6000 spares from a shut down process facility. IC 6000 System S/S Option Plug-In Card. ... moreType: DAC Card for IC 6000 Conrollers. The board is in good condition.
$
630.29
Buy It Now
$30.36 Shipping
Condition: For parts or not working
Location: Leander, United States
Manufacturer:Integrated Designs IDI. (1) IDI 312 Pump. Dispense pump. Condition:For Parts or Not Working. Two of the long bolts that hold the ends on ... morethe body of the pump are broken-off; we are not sure why.
$
199.95
Buy It Now
Free Shipping
Condition: For parts or not working
Location: West Sacramento, United States
Tested to power on, not available to test further. It is also subject to change during peak periods. We work hard to include a lot of pictures of each ... moreitem.
$
37.49
Buy It Now
$9.99 Shipping
Condition: Used
Location: Cedar Hill, United States
This item is to be installed and maintained by qualified individuals. We claim no expertise in the use of this item. We accept no responsibility on the ... morecorrect/incorrect application or installation of this item.
$
50.00
Buy It Now
$15.70 Shipping
Condition: New
Location: Brentwood, United States
Pneumatic Cylinder. MRS0911DXP. (75).
$
2009.23
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Model No: TOP PLATE, CERAMIC. Part No: 02417-11090.
$
802.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The unit does not have a wafer chuck (see photos). The physical condition is good, but there are signs of previous use and handling. Part No: IM14-MS34.
$
200.00
Buy It Now
$44.90 Shipping
Condition: Seller refurbished
Location: Brentwood, United States
PFA Molded 100mm Static Tank (11)
$
3499.99
Buy It Now
Condition: For parts or not working
Location: Garden Grove, United States
• (1) - CTI-CRYOGENICS COOLER COMPRESSOR PUMP PARTS. • (1) - MISC PARTS AND ACCESSORIES (SEE PHOTO).
$
125.00
Buy It Now
$38.91 Shipping
Condition: New
Location: Morgan Hill, United States
99.999% minCondition: we do not have capability to test the item. We sell it at AS Is , where is, no return. No warranty. All info are in the photos from ... morethe real item.No price and terms negotiation. Appreciate it.Pls contact us by email if you have any questions. Appreciate your time.
$
1012.21
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
This KoMiCo 300mm Wafer LHP Shutter is refurbished surplus. The physical condition is good, but there may be signs of previous use and handling. Part ... moreNo: (Cu) (SLAM) LHP Shutter. Copper Cu Exposed. Manufacturer Refurbished.
$
1504.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a KLA-Tencor eS31 E-Beam Wafer Inspection System. This KLA-Tencor Wafer Lift Pin Assembly is used working surplus. The physical condition ... moreis good, but there are signs of previous use and handling.
$
220.00
Buy It Now
$15.50 Shipping
Condition: Used
Location: San Jose, United States
AMAT SHIELD INNER. TXZ CVD 300MM AMAT P/N: 0040-04586 Description: AMAT SHIELD INNER. TXZ CVD 300MM Qty- 1 Condition: OEM NEW* We will accept returns ... morewithin 7 days after your receipt.
$
2506.22
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Mattson Technology 303-05314-01 Shower Head is new surplus. The physical condition is great. (U)PLATE, SHOWERHEAD. Part No: 303-05314-01. Serial ... morenumbers or country of manufacture may vary.
$
311.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0270-00249 200mm ESC Lifting Protection Fixture is used working surplus. The physical condition is good, but there are signs ... moreof previous use and handling. FIXTURE,LIFTING PROTECTION,ESC/CESC,200M.
$
2312.23
Buy It Now
Condition: Used
Location: Albuquerque, United States
Model No: FOUP Front Opening Unified Pod. The unity is missing the front panel and has minor dings to the upper surface (see photos). The physical condition ... moreis good, but there are signs of previous use and handling.
$
40.00
Buy It Now
$17.50 Shipping
Condition: Used
Location: San Jose, United States
$
1009.23
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Air Products Part No: 130623. The physical condition is great. Model No: SEAL PLATE. Part No: 715-006719-001.
$
2508.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Novellus Systems 15-00934-02 200mm Wafer Indexer is used working surplus. The physical condition is good, but there are signs of previous use and ... morehandling. INDEXER,WF,EXCL,OPTION,200MM. Inventory # CONJ-1631.
$
200.00
Buy It Now
$11.97 Shipping
Condition: Used
Location: Annandale, United States
SUSS |Z| Probe Z040-K3N-GSG-150 Single Port RF & Microwave Wafer Probes
$
302.22
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: F9431002. Model No: PICK,RH 3-125M. Serial numbers or country of manufacture may vary.
$
3200.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
510.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Model Number: 9010-33 SBE VCOM PCBC.
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18
 In 

Former Listings  
 
LAM Ring, Clamp, Upper Electrode PN 715-028289-001
Cleaned and sealed LAM Clamp Ring PN 715-028289-001
LAM Research 839-800327-315A 300mm ESC Electrostatic Chuck Electrode for 2300
Search our eBay Store! LAM Research 839-800327-315A 300mm ESC Electrostatic Chuck Electrode for 2300 SKU: JV-HAN-C-ESC839EIGHT Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge LAM Research 839-800327-315A 300mm ESC Electrostatic Chuck Electrode for 2300. P/N: 839-800327-315A. Size: 300mm Unit appears to be bipolar anodized aluminum. We beli e ve this unit is for the LAM 2300 Exelan Flex. However, we are uncertain. Physical Condition: Good– Unit shows minor signs of previous use. Functionality/Degree of Testing: We do not have the necessary resources to test this unit. Dimensions(L" x W" ... morex H" 12-5/8 x 12-5/8 x 1-1/2. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have en
LAM Research 715-014790-302B 300mm ESC Electrostatic Chuck Electrode for 2300
Search our eBay Store! LAM Research 715-014790-302B 300mm ESC Electrostatic Chuck Electrode for 2300 SKU: JV-HAN-C-ESC839TWO Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge LAM Research 715-014790-302B 300mm ESC Electrostatic Chuck Electrode for 2300. P/N: 839-011307-211A. Size: 300mm Unit appears to be bipolar anodized aluminum. We beli e ve this unit is for the LAM 2300 Exelan Flex. However, we are uncertain. Physical Condition: Good– Minor scuffs/scratches from previous use. Functionality/Degree of Testing: We do not have the necessary resources to test this unit. Dimensions(L" x W" ... morex H" 12-5/8 x 12-5/8 x 1-1/2. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have en
KLA 710-404420-00 073-04423-00 I/O Board from KLA-Tencor 5100 system
KLA 710-404420-00 073-04423-00 I/O Board from KLA-Tencor 5100 system. Used unit in good condition. Pulled from KLA-Tencor 5100 Wafer Handling System. Please contact us regarding international and multi-quantity shipping we are often able to find a better price than the quoted shipping price in the listing. For international shipments buyer is responsible for duties and tariffs. Local pickup in San Jose CA. available. Shipping Details: Shipping weight 3 lbs. Box dimensions 14" x 12" x 4" Email: For new and used high-tech and industrial automation parts and systems visit us online at. Or. S.
KLA Driver Boards 710-404556-00/073-404555-00 from KLA-Tencor 5100 system
KLA Driver Boards 710-404556-00/073-404555-00 from KLA-Tencor 5100 system. Used unit in good condition. Pulled from KLA-Tencor 5100 Wafer Handling System. Each sale is 1 board. Please contact us regarding international and multi-quantity shipping we are often able to find a better price than the quoted shipping price in the listing. For international shipments buyer is responsible for duties and tariffs. Local pickup in San Jose CA. available. Shipping Details: Shipping weight 3 lbs. Box dimensions 14" x 12" x 4" Email: For new and used high-tech and industrial automation parts and systems visit us online at. Or. S.
SensArray KLA Wafer Probe 1840A-8-5004A (B3)
for your viewing today for your viewing today. SensArray KLA Wafer Probe 1840A-8-5004A Came from Palomar Technologies consists of the probe and the standard. The standard is 1375ohms I do not know this equipment and its condition so please check the images to see if it is what you need and or if it is complete It was bagged and in good condition as shown here Sold AS-IS Click on photos for a larger view This product is listed as. Warranty? New Oem Boxed Surplus New Opened Boxed Surplus( Kephis Packaging) Used Tested( Kephis Packaging) Used Not Working Sold AS-IS For Parts Only Sold AS-IS AS-IS Unknown( Tell me what it is and get a 10% storewide credit coupon) We will entertain any reasonable offers on single and multiple item listings of 50% and above! Mail us for purchase of separate auction listings For the most part. I do not buy scrap ... morelots, I look for and buy selected inventory in good condition that I believe my customers would like. Many products are things that I have been asked for by you so let me know if I can find something out this way for you. I ship out quickly and pack with antistatic bags. Bubble wrap and even wrapped with paper They should be safe! All Items listed are sold AS-IS Unless stated otherwise in the description. Any information that I offer for use is given/ sold as-is. Use or take at your own risk. Please check that the listed item is what you need. As all items are sold"as is" Payment to be within 7 days from close of auction. I Reserve the right to change shippers. Shipping to Confirmed Paypal address only. Customs Documents will not be falsified. Cost will be listed as set by EBay Checkout. YOU are responsible to know your own country's duties and taxes. Rates/policies before you buy. Due to the changing levels of service and care provided by the postal services. We cannot guarantee delivery outside the United States. Insurance is strongly suggested, may be required and some can not be insured. Also if Item is lost or damaged refund will be for cost of purchase price
Anatech Hummer VII Sputtering System Argon Atom Coating MRC Kolzer
We have for sale an Anatech Hummer VII Sputtering System. This Hummer VII is a system for uniformly coating specimens for SEM. Which is a cold process in which ions impacting a metal source dislodge metal atoms, causing the atoms to disperse throughout the process chamber to uniformly coat irregularly shaped specimens without any thermal damage. We have tested this unit by applying 8 PSI of Argon and it will sputter(see attached photos) We are not experienced to use it for coatings. However, it is being sold as stated and as shown in the photographs. If you would like us to do a coating please give us the instructions. Thank you. Anatech Hummer VII Sputtering System Info or speifications: A Built In Leybold D1.6B Vacuum Pump Processing Gas: Argon delivered at 8 psig. 35 kpa High Voltage: DC-1500 to-3000 Volts System Electrical: 115 VAC. 50 ... moreor 60 Hz, 4 Amp Size: 20 9/16" X 12 1/2" X 15" Shipping dimensions are 26" X 26" X 20" at 54 pounds. Please click the photos desired. To enlarge the item for a closer view. This is a rare item to be on E-bay. In great shape. If you have any questions, please e-mail. Thank you and have a great day. code 29-B-5) Please Note: This sale is for USA. ONLY! NO International sales or shipments. Thank you. This auction comes with what is in the pictured or listed in the description. All cables. Parts, accessories, etc. are not included unless pictured or described. If in doubt. Please e-mail us before purchasing the item. Thanks again and God Bless. Please Note: We are having trouble loading the photo's. Will try again to marrow. Thanks
Lam Research 518-012230-001 Gate Cycler Test Fixture
Item up for sale is a: Lam Research 518-012230-001 Gate Cycler Test Fixture Item is used and is untested other than what is indicated below: If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. ~20 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. ============================================================================ Terms of Sale Payment Options PayPal only or contact us for payment methods to pay on pickup. Bank transfers or payments using a Cashier’s Check may be available. Contact us for additional information and fees. RETURNS Warranty for Items shipped in the US 14-Day Right ... moreto Return- Buyer has 14 days to test item to determine its operational condition unless it was listed as Parts-Only or As-Is. Should the item be received non-operational you may return the item for refund of the purchase price. 14-day period starts the day you receive the item. You cannot return an item because it is not compatible with the system you installed the item into. Please insure that the item you are purchasing is compatible with the application or in the system you intend to use it. Warranty for Items shipped outside the United States All international sales shipped to a destination outside the US are non-refundable. All international sales are final. SHIPPING Shipping within the United States Buyer is responsible for all shipping costs including returns. Please note for domestic shipments(within US) we ship FedEx ground only. But you can use UPS ground shipping rates(calculated automatically by eBay) to estimate the shipping charges. Actual FedEx ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FedEx does not ship to PO Boxes- please provide us with a regular addr
^Varian Type 0531 TC Vacuum Gauge Tube A5
Up for auction is a Varian Type 0531 TC Vacuum Gauge Tube. Free shipping! If you are not completely satisfied with the item we will issue you a full refund!
Matrox VIP-1024 Frame-Grabber Board KLA Part# 750-159-00 from KLA-Tencor 5100
Matrox VIP-1024 Frame-Grabber Board KLA Part# 750-159-00 from KLA-Tencor 5100. Used unit in good condition. Pulled from KLA 5100 Wafer Handling System. Please contact us regarding international and multi-quantity shipping we are often able to find a better price than the quoted shipping price in the listing. For international shipments buyer is responsible for duties and tariffs. Local pickup in San Jose CA. available. Shipping Details: Shipping weight 3 lbs. Box dimensions 14" x 12" x 4" Email: For new and used high-tech and industrial automation parts and systems visit us online at. Or. S.
Dektak 3 completely refurbished to factory specs.
Dektak 3 totally refurbished to factory specs. New Zoom Magnification option installed 60-420X New Pentium III Computer with 3.21 Dektak software. New 17" LCD screen. New Lan Card. Network. Not Installed) New keyboard with Dektak hotkeys. New mouse. New Dektak 3 manual. Vertical Range: 100A to 655Ka. Scan Length: 50 Microns to 30mm. Sample Stage Diameter: 127mm(5 inches) New 12.5 micron Radius Diamond Stylus. Other option sizes available at cost to you. No Warranty on Stylus) Please contact for more information. Free Shipping in the U.S.A. only. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf54%3E-13f40c25001-0x100-
2710 Watkins-Johnson TEOS958 CRS (3.5 CRS) Chemical Delivery System
You are Buying a Watkins-Johnson TEOS958 CRS(3.5 CRS) Chemical Delivery System Used. Excellent Conditions. Sold As Is. Watkins-Johnson Chemical Delivery System Mod: TEOS958 CRS 3.5 CRS 3 Places Dimensions: 42x54x17 Shipping Purpose: W: 450lbs 52x68x30 2710. All items are sold as is without warranty unless specifically stated in the posting. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge for freight will be determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will be determined by the size and the care that has to go into packing an item. Thanks.
KLA TENCOR 6200 SURFSCAN JDSU LASER 21098860 2214-30SLQTA
Manufacturer: KLA TENCOR Model: 2214-30SLQTA Condition: USED Notes: Surplus removed from a major U.S. fab. JDSU laser Included: 1) KLA TENCOR 6200 SURFSCAN JDSU LASER 21098860 2214-30SLQTA
MKS INSTRUMENTS 651CD2S2N 651 THROTTLE VALVE PRESSURE CONTROLLER 600 SERIES
Manufacturer: MKS INSTRUMENTS Model: 651CD2S2N Condition: USED Notes: Working MKS 651C Pressure controller. Unit powers up Included: 1) MKS INSTRUMENT 651CD2S2N 651 PRESSURE CONTROLLER Version 1.91 CE Marked
NEW Stec SEC-4400M Mass Flow Controller He,10CCM
NEW Stec SEC-4400M Mass Flow Controller N2.200SCCM. Manufacturer: Stec Condition: Refurbished Notes: No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Stec SEC-4400M Mass Flow Controller(1) Calibration Data Sheet Specifications: Manufacturer: Stec Model: SEC-4400M Condition: Refurbished(Double-Bagged in Clean Room) Gas: He Flow Rate: 10 CCM Interface: 9-Pin D-Sub Connections: 1/4" VCR Calibration: N2 C.F. 1.43 Valve: C Option: 671A Treat:UC,
Bruce Technologies / BTU / BTI / Model BDF 41 Diffusion Furnace
Please contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Bruce Technologies Diffusion Furnace Model BDF 41 7355X DDC Controls Additional photos and information on request. Suitable for rebuild/reconfiguration. For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for many years. Our facility is located in the metropolitan NYC area. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements.
KLA 710-404582-00 073-404423-00 PZT Controller from KLA-Tencor 5100 system
KLA 710-404582-00 073-404423-00 PZT Controller from KLA-Tencor 5100 system. Used unit in good condition. Pulled from KLA-Tencor 5100 Wafer Handling System. Please contact us regarding international and multi-quantity shipping we are often able to find a better price than the quoted shipping price in the listing. For international shipments buyer is responsible for duties and tariffs. Local pickup in San Jose CA. available. Shipping Details: Shipping weight 3 lbs. Box dimensions 14" x 12" x 4" Email: For new and used high-tech and industrial automation parts and systems visit us online at. Or. S.
Lot of 3 Controller Boards for Rigaku 3620 X-Ray Wafer Analyzer
1-888-9WEC2IT(993-2248) Problem? Lot of 3 Controller Boards for Rigaku 3620 X-Ray Wafer Analyzer. Item Description. Manufacturer Rigaku, Model Number R-Controller 8509-0045. Temp-Vac- Control 9300-5252 CS, 6684-0066(Unknown) Category Lab Equipment Wafer Processing, Cosmetic Wear Items have minimal wear. Functional Condition Items removed from a Rigaku 3620 X-Ray Wafer Analyzer. Items are presumed working. Items Included In Listing This lot includes 3 boards total as pictured. Not Included software. PJR311. PLEASE NOTE: If you think an accessory should be with this item. And it is not pictured or not mentioned, it is NOT included. This Listing Was Sourced By
Cressington 108 Auto Sputter Coater SEM/MTM-20 Thickness Monitor
Questions? Call us: 1-877-328-9236. Cressington 108 Auto Sputter Coater SEM/MTM-20 Thickness Monitor. Manufacturer: Cressington Scientific Instruments Ltd. Model: 108auto Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Cressington Scientific Instruments Ltd. Condition: Used. Very nice. Notes: This system does not come with a vacuum pump. Please contact us if you need one; we may be able to supply it. We powered up this system and verified base pressure of Here's a very nice computer-controlled sputter coater for small samples. It's ready to work. Phone support is readily available from Ted Pella. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Cressington 108auto Suppter Coater, 1) Cressington MTM-20 Thickness Controller, 2) Spare crystals, ... more1) Silver target of unknown composition, Cables, Manual is available online Specifications: Date of manufacture: 2005 Model. 108auto: 7002 Model. MTM-20: 93006 108auto Key Features: Automatic or manual operation, Automatic control by crystal-controlled thickness monitor or timer. 0.0-999.9nm range, Sputter current fully independent of gas pressure, Low voltage magnetron, Separate leak. Purge, and vent valves, with needle valve, Quick target change Au. Au/Pd, Pt, or Pt/Pd available, SKU: N23X003 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders
Sanyo Denki Model 109S078UL Fans w/ Mountable Box for Rigaku 3620 Wafer Analyzer
1-888-9WEC2IT(993-2248) Problem? Sanyo Denki Model 109S078UL Fans w/ Mountable Box for Rigaku 3620 Wafer Analyzer. Item Description. Manufacturer Sanyo Denki, Model Number 109S078UL, Category Lab Equipment Cooling Fans, Installed Options Impedance protected, Functional Condition Item was removed from a working environment. Items Included In Listing 2 fans and mountable box as pictured. Additional Comments W=16-3/4" D=9" D=9" PJR311. PLEASE NOTE: If you think an accessory should be with this item. And it is not pictured or not mentioned, it is NOT included. This Listing Was Sourced By
Power Supply & Controller for Rigaku 3620 X-Ray Wafer Analyzer
1-888-9WEC2IT(993-2248) Problem? Power Supply& Controller for Rigaku 3620 X-Ray Wafer Analyzer. Item Description. Manufacturer Rigaku Industrial Corp. Model Number Type 250, Category Lab Equipment X-Ray Wafer Analyzer, Cosmetic Wear Item has bent port/module. Display has bad pressure mark. Functional Condition Item powers on. Item removed from a working environment. Items Included In Listing Item as pictured with power cords. Additional Comments W=11" D=11" H=7-1/2" PJR311. PLEASE NOTE: If you think an accessory should be with this item. And it is not pictured or not mentioned, it is NOT included. This Listing Was Sourced By
Fluoroware E124-60 Robox 6" Ultra Pack Silicon Wafer Shipping Container No Lock
Fluoroware E124-60 Robox 6" Ultra Pack Silicon Wafer Shipping Container No Lock Description Fluoroware E124-60 6" Robox Ultra Pack Silicon Wafer Shipping Container No Lock. Blue as pictured. Blue with purple top, yellow, yellow with blue top. Manufacturer Fluoroware Manufacturer Part Number E124-60 Item/Ship Weight 3 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300. Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in ... morethe photos or description is probably not included. If you have any questions please contact us before bidding. Shipping We will professionally package. Using instapak foam-in-place if needed, and insure this product for safe delivery. Domestic shipping rates are available using the shipping calculator below, subject to terms& conditions. Please contact us for international shipping rates. PID 27258 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package is too large to qualify. As such. We may need to contact you before shipping to make an adjustment to a different method which may change the price for shipping as well. This bug has been reported, but they gave no timeline
Rigaku MDP09 Cleaning/Reset Internal Switch From Rigaku 3620 w/ 4&6 Pin Adapters
1-888-9WEC2IT(993-2248) Problem? Rigaku MDP09 Cleaning/Reset Internal Switch From Rigaku 3620 w/ 4&6 Pin Adapters. Item Description. Manufacturer Rigaku Industrial Corp. Model Number MDP09, Category Industrial Switches, Cosmetic Wear Item is used but in excellent condition. Functional Condition Functional, Testing Procedure Item came from a working environment and assumed to be in operational order but due to missing accessories(ie batteries. Power supply, other components) we are not able to test. We will be glad to test further if provided instruction and have the proper equipment. Items Included In Listing Rigaku MDP09 Cleaning/Reset Internal Switch From Rigaku 3620 w/ 4&6 Pin Adapters- as shown. Not Included The rest of the Rigaku 3620, LMC012. PLEASE NOTE: If you think an accessory should be with this item. And it is not pictured ... moreor not mentioned, it is NOT included. This Listing Was Sourced By
Sample Inlet Plumbing for Rigaku Denki 3620 X-Ray Wafer Processor
1-888-9WEC2IT(993-2248) Problem? Sample Inlet Plumbing for Rigaku Denki 3620 X-Ray Wafer Processor. Item Description. Manufacturer Rigaku Denki, Model Number 3620, Category Lab Equipment Wafer Processing, Cosmetic Wear Pipe has tape residue. Functional Condition Items removed from a working environment. Items Included In Listing Items as pictured, Not Included Additional wiring/plumbing. Dimensions W=28" H=20-1/2" D=8" PJR311. PLEASE NOTE: If you think an accessory should be with this item. And it is not pictured or not mentioned, it is NOT included. This Listing Was Sourced By
Latch Panel for Rigaku 3620 X-Ray Wafer Processor
1-888-9WEC2IT(993-2248) Problem? Latch Panel for Rigaku 3620 X-Ray Wafer Processor. Item Description. Manufacturer Rigaku, Model Number 3620, Category Lab Equipment X-Ray Wafer Processing, Cosmetic Wear Item has moderate scratching and scuffing from normal wear. Functional Condition Item was removed from a working environment and still has wiring intact. Item removed from a Rigaku 3620 Wafer Processor. Items Included In Listing Item as pictured, Additional Comments See pictures for dimensions, PJR311. PLEASE NOTE: If you think an accessory should be with this item. And it is not pictured or not mentioned, it is NOT included. This Listing Was Sourced By
Oriental Motor 4RK25GK-A2M Reversible Motor for Rigaku 3620 Wafer Processor
1-888-9WEC2IT(993-2248) Problem? Oriental Motor 4RK25GK-A2M Reversible Motor for Rigaku 3620 Wafer Processor. Item Description. Manufacturer Oriental Motor, Model Number 4RK25GK-A2M, Category Lab Equipment X-Ray Wafer Processing, Installed Options A.C. magnetic brake, Specs 1250/1500 Rpm's. 100V 25W, Cosmetic Wear Item has minimal wear. Functional Condition Item removed from a working environment. Item removed from a Rigaku 3620 X-Ray Wafer Processor. Items Included In Listing Items as pictured. Dimensions of motor only 6-3/4" x 3-1/4" x 3-1/4" PJR311. PLEASE NOTE: If you think an accessory should be with this item. And it is not pictured or not mentioned, it is NOT included. This Listing Was Sourced By
Detector & Glass Column Filter for Rigaku 3620 X-Ray Wafer Analyzer
1-888-9WEC2IT(993-2248) Problem? Detector& Glass Column Filter for Rigaku 3620 X-Ray Wafer Analyzer. Item Description. Manufacturer Rigaku, Model Number Detector P/N: 4P10. Category Lab Equipment Wafer Processing, Cosmetic Wear Items have minimal wear. Functional Condition Items removed from a working environment. Items removed from a Rigaku 3620 wafer processor. Items Included In Listing Items as pictured. Dimensions Length of glass filter: 11" PJR311. PLEASE NOTE: If you think an accessory should be with this item. And it is not pictured or not mentioned, it is NOT included. This Listing Was Sourced By
Fan Box for Hot Tooling Parts Cool-down. Interesting Item SST Technologies USED
Fan Box for Hot Tooling/ Parts Cool-down. Interesting Item USED Selling a tooling cooldown fanbox unit made by Scientific Sealing Technology(SST) This unit measures 12" x 12" x 9" tall and. As the name suggests, can be used to cool down hot tools or parts via forced air from the fan mounted in the box. There are other uses for this device as well. Solid steel and aluminum construction. Power Switch. 120 VAC w. fuse. Powered by
JCI 6684-0052 Control Driver Board for Rigaku 3620 Wafer Processor
1-888-9WEC2IT(993-2248) Problem? JCI 6684-0052 Control Driver Board for Rigaku 3620 Wafer Processor. Item Description. Manufacturer JCI, Model Number 6684-0052, Category Lab Equipment X-Ray Wafer Processing, Cosmetic Wear Item has minimal wear. Functional Condition Item removed from a working environment. Item removed from a Rigaku 3620 X-Ray wafer analyzer. Items Included In Listing Item as pictured, Additional Comments L=15-3/4" W=10-1/4" H=1-1/2" PJR311. PLEASE NOTE: If you think an accessory should be with this item. And it is not pictured or not mentioned, it is NOT included. This Listing Was Sourced By
Signatone Model S-925 Probe Station Micropositioner, 900 Series Head Positioner
Signatone Model S-925 Probe Station Micropositioner. 900 Series Head Positioner, good working condition- no accessories- no manual(s) 10 business days for delivery. See terms below: Priority Test Equipment. Inc. 888-278-2230 PAYMENT AND SHIPPING INFORMATION WARRANTY& RETURN POLICY GOOD WORKING CONITION- All“Good Working condition” equipment will include a 30 day warranty. Warranty period begins when the item ships from our office. Priority Test will. At its discretion, repair, replace or refund any malfunctioning equipment only, providing there has not been any improper application or misuse of equipment by customer, which will be determined by a 3 rd neutral party. No accessories or manuals included. PRESUMED GOOD BUT UNTESTED- All“Presumed Good but Untested” equipment does powers on but we do not have the ability. Equipment, or expertise ... moreto test it further. This means that the item is being sold AS-IS, as-pictured with the assumption and understanding that there may be unknown defects and does not include any warranty. No accessories or manuals included. PARTS UNIT/SOLD AS IS– All“Parts Unit/Sold As Is” equipment is being sold AS IS and does not include any warranty program. We do not know all the problems nor which parts are working or not. The buyer assumes all responsibility for the item SOLD AS IS. No accessories or manuals included. RETURNS- Please email us regarding your return with transaction number. Item and brief description of problem at nthrone@prioritytest.com. Once approved– all returns must be shipped with original packaging and include all accessories. If any included with original order. Items with any obvious sign of additional wear or internal component alterations or voided warranty seals will not be accepted and will void/cancel the return. Shipping costs are not refundable. PAYMENT: PayPal. Credit cards, wire transfers(+an additional $35 bank fee– no exception) ONLY. International customers must wire funds ONLY. NO CREDIT CARD purchases will be accepted for International. S
Signatone Model S-930 Probe Station Micropositioner, 900 Series Head Positioner
Signatone Model S-930 Probe Station Micropositioner. 900 Series Head Positioner, good working condition- no accessories- no manual(s) 10 business days for delivery. See terms below: Priority Test Equipment. Inc. 888-278-2230 PAYMENT AND SHIPPING INFORMATION WARRANTY& RETURN POLICY GOOD WORKING CONITION- All“Good Working condition” equipment will include a 30 day warranty. Warranty period begins when the item ships from our office. Priority Test will. At its discretion, repair, replace or refund any malfunctioning equipment only, providing there has not been any improper application or misuse of equipment by customer, which will be determined by a 3 rd neutral party. No accessories or manuals included. PRESUMED GOOD BUT UNTESTED- All“Presumed Good but Untested” equipment does powers on but we do not have the ability. Equipment, or expertise ... moreto test it further. This means that the item is being sold AS-IS, as-pictured with the assumption and understanding that there may be unknown defects and does not include any warranty. No accessories or manuals included. PARTS UNIT/SOLD AS IS– All“Parts Unit/Sold As Is” equipment is being sold AS IS and does not include any warranty program. We do not know all the problems nor which parts are working or not. The buyer assumes all responsibility for the item SOLD AS IS. No accessories or manuals included. RETURNS- Please email us regarding your return with transaction number. Item and brief description of problem at nthrone@prioritytest.com. Once approved– all returns must be shipped with original packaging and include all accessories. If any included with original order. Items with any obvious sign of additional wear or internal component alterations or voided warranty seals will not be accepted and will void/cancel the return. Shipping costs are not refundable. PAYMENT: PayPal. Credit cards, wire transfers(+an additional $35 bank fee– no exception) ONLY. International customers must wire funds ONLY. NO CREDIT CARD purchases will be accepted for International. S
8" wafer stage (mover) price is totally negotiable
8 inch wafer stage custom build by Dover Instruments for wafer inspection. Used but in great condition. It has a linear motor driven 8 inch X. Y axis with glass scale encoders. The base is made of solid granite for stability with the air bearings. Includes a 17" in rack mount for all the drivers. Dimentions of the granite base are: 34" X 27" X 6" and weighs around 600 pounds( local pick up would be optimal) This custom wafer stage was built in 1995 for around 250k. I realize I wont get close to that but any offer will be taken seriously. If you have any questions or would like to make an offer, feel free to give me a call or text at(831)359-0617 Thanks. Joe.
KNIEL VME Power Supply 15V (ASML 4022.430.14801)
KNIEL VME Power Supply 15V(ASML 4022.430.14801) Description For sale is one KNIEL VME Power Supply 15V(ASML 4022.430.14801) CONDITION: This item was pulled from a working environment and is guaranteed non-doa under our 14 day return policy. AUCTION INCLUDES: This sale includes only what is shown in the photos. We do not includes any additional accessories or software with this item. Type: CPD 15.3 V2. A.Nr. 321-007-02.09. U in: 115/230V +15/20% 45-440Hz. I in max: 2.2/1.1A. Only items pictured are included. If a part is not pictured or mentioned in the description then it is not included in the sale. If you are unsure about any aspect of this item please send an email and ask questions before bidding. We do offer combined shipping discounts and free local pickup. Email for details. OceanTech WE BUY& SELL IT EQUIPMENT Guarantee 14 DAY ... moreRETURN POLICY: We want our Customers to be happy with their purchases. So customers are welcome to return items within the first 14 days of receiving the item if they are unhappy with the item. There will be a 20% restocking fee on any 2nd return from the same customer that are not due to a hardware failure within the first 14 days of receiving the item. There will be no restocking fee for items that break in transit or have a hardware failure in the first seven days the customer receives it. Buyer is responsible for return shipping charges unless there was a hardware failure We will not issue refunds for items we deemed tampered with or are dissembled by the customer. Our Customer Support We do our best to ensure that each and every transaction is free of problems and issues. If you have any questions or concerns we are here to help. Per eBay's policy and guidelines you must contact us before opening a case. We ask that you only open a case as a last resort and will do everything we can to prevent you from needing to do so. If you would like to Contact us! Please use the eBay message system under the"contact seller" hyperlink. Hours: We are open Monday-Friday. 9am-
Test Item 18 for Magento System - eBay freight
Test Item 18 for Magento System- eBay freight(Click to Enlarge) Product ID# L44444 This is a test item for the new Magento System. There is nothing for sale here this is only a test. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below. Shipping LTL Freight. The unpacked weight of this item is 150 Buyer pays freight plus a fixed handling fee of 20(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) Freight charges are prepaid and will be billed based on the buyers confirmed shipping address. To avoid invoicing delays on freight items. Winning bidders should contact us through eBay with their confirmed ship ... moreto address and details on the following: Company Name: Contact Person: Contact Phone Number: Ship to Address. City, State and Zip Code: Country: Business or Residential Delivery? Is there a Dock or Forklift available? Delivery Hours: Lift-gate Delivery required? Are there any delivery restrictions? Are we delivering to a University. Strip Mall or Inside Delivery? Freight quotes and charges will be billed within 3-5 business days of receipt of shipping details above(please note international freight quotes may take longer) Freight rate quotes are valid for 10 calendar days from the date quoted. If a cleared payment has not been received in this time frame. The rate will expire. We reserve the right to re-quote the freight charges in the event of a payment delay. Auction Terms. Upon end of auction. The winning bidder should proceed to"Check Out" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as advertised. As is and without warranty. Questions should be asked prior to bidding as all sales are final. PAYMENT TERMS ARE PREPAID ONLY. Payments. InstantClickout% ALL AUCTIONS ARE
BOC Edwards QDP Gas Control Module for QDP High Vacuum Pumps, A52805000
Manufacturer: BOC Edwards, Part Number: A52805000, Notes: Sold as-is. As pictured. Includes no additional accessories beyond what is pictured. If you need any further information about this item. Please ask any questions prior to purchase. ims.21855 loc.65-E
A88905 Royce Instruments System 550 Wire Bond Pull Tester, Calibrated
A88905 Royce Instruments System 550 Wire Bond Pull Tester. Calibrated(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# A88905 This Royce Instruments System 550 Wire Bond Pull Tester looks to be in good cosmetic condition with signs of wear and previous use. The display is scrambled a bit as shown during the inital power up. But after a warm up period it becomes stable and the lines go away. The display may need replacing. The system worked fine when tested. And we had our technician verify its condition as well. The printer works and shows the calibrated certificate. I really lack the knowledge and equipment to test properly so it is being sold as-is. Unpacked dims are 28*17*17. It comes with the manual and software version 6.96. I only ... morehave what is shown. And no other accessories are included. If more pictures are needed please feel free to e-mail. Any special crating will be paid for by the bidder. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below. Shipping LTL Freight. The unpacked weight of this item is 250.00 Pounds Buyer pays freight plus a fixed handling fee of $25.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) Freight charges are prepaid and will be billed based on the buyers confirmed shipping address. To avoid invoicing delays on freight items. Winning bidders should contact us through eBay with their confirmed ship to address and details on the following: Company Name: Contact Person: Contact Phone Number: Ship to Address. City, State and Zip Code: Country: Business or Residential Delivery? Is there a Dock or Forklift available? Delivery Hours: Lift-gate Delivery required? Are there any delivery restrictions? Are we delivering to a Univer
Square D FA22030AB Circuit Breaker 2 Pole 240V 30A ! WOW !
Square D FA22030AB Circuit Breaker 2 Pole 240V 30A! WOW! This item is used. Tested, in good working condition! Pictures above are of actual item for sale. Location W2-CC-22 Lister: BZ. If you have any questions or require more pictures. Please contact me! NEXT DAY DELIVERY AVAILABLE- PLEASE CALL FOR DETAILS! Not what you are looking for? Visit our e-store! Powered by
C101213 MTI Manufacturing Technology Inc. NSX-180 Wafer Dicing Saw
C101213 MTI Manufacturing Technology Inc. NSX-180 Wafer Dicing Saw(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# C101213 This MTI Manufacturing Technology Inc. NSX-180 Wafer Dicing Saw[p/n 90-10000-00; 208VAC. 3Ph(5-wire) 15/30A, 50/60Hz; mfd. 2/00) looks to be in decent cosmetic condition, showing some signs of wear. However, I have no way of powering it up or testing it, so it is being sold as-is. Approximate overall unpacked dimensions: 52"L x 43"W x 84"H. Due to the size of this unit, a custom made pallet will be required to ship it, the cost of which will be the responsibility of the buyer. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. ... moreAs is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below. Shipping LTL Freight. The unpacked weight of this item is 3.290.00 Pounds Buyer pays freight plus a fixed handling fee of $30.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) Freight charges are prepaid and will be billed based on the buyers confirmed shipping address. To avoid invoicing delays on freight items. Winning bidders should contact us through eBay with their confirmed ship to address and details on the following: Company Name: Contact Person: Contact Phone Number: Ship to Address. City, State and Zip Code: Country: Business or Residential Delivery? Is there a Dock or Forklift available? Delivery Hours: Lift-gate Delivery required? Are there any delivery restrictions? Are we delivering to a University. Strip Mall or Inside Delivery? Freight quotes and charges will be billed within 3-5 business days of receipt of shipping details above(please note international freight quotes may take longer) Freight rate quotes are valid for 10 calendar days from the date quoted. If a cleared payment has not been received in th
Noah Precision 8725A / 8725 A Controller for Noah 2000
Used Noah Precision 2000 controller/ power distribution box. Model 8725A. Items have not been tested and are being sold"as is" with no warranty expressed or implied. Some items may be in need of repair. Make us an offer! Seller is a manufacturer of analog. Mixed-signal and optoelectronic components and subsystems and is located in Fort Collins, CO. Please check out our store here for more items: Applicable sales tax will be collected on all orders shipped to Colorado.
Cascade Microtech Auto Prober, Parametric Series PS21
USED Cascade Microtech Auto Prober. Parametric Series Two items are available. Models: Both are PS21. One has"DD SOP" on it as well*S/N: 720638 and 74028 Items are used and have not been tested recently but are in good condition and were previously used in production. Other information is available upon request. No warranty expressed or implied. DOA items will be refunded within 7 days of ROR. International shipment charges will vary by location. Make us an offer! Seller is a manufacturer of analog. Mixed-signal and optoelectronic components and subsystems and is located in Fort Collins, CO. Please check out our store here for more items: Applicable sales tax will be collected on all orders shipped to Colorado.
Applied Materials 8310 Etcher System
Used Applied Materials 8310 Etching System Item has not been tested recently but is in good. Working condition. Other information available upon request. No warranty expressed or implied. DOA items will be refunded within 7 days of ROR. International shipment charges will vary by location. Make us an offer! Seller is a manufacturer of analog. Mixed-signal and optoelectronic components and subsystems and is located in Fort Collins, CO. Please check out our store here for more items: Applicable sales tax will be collected on all orders shipped to Colorado.
MRC Sputtering System - Tower Only - p/n 1698-801
USED MRC Sputtering System. Tower Only* p/n 1698-801*s/n 1024176*10 KW*208 V*110 AMP*60 Hz*3-phase Item is used and has not been tested recently but is in good condition and was previously used in production. We do not have any other pieces for this tool and the item pictured is the only item for sale at this time. Other information is available upon request. Item is being sold as is with no warranty expressed or implied. DOA items will be refunded within 7 days of ROR. International shipment charges will vary by location. Make us an offer! Seller is a manufacturer of analog. Mixed-signal and optoelectronic components and subsystems and is located in Fort Collins, CO. Please check out our store here for more items: Applicable sales tax will be collected on all orders shipped to Colorado.
Tegal Etcher / Etch System Model 1611
Used Tegal 1611 Etcher/ Etch System. Item has not been tested recently but is in good. Working condition and was previously used in production at our facility. Other information available upon request. No warranty expressed or implied. DOA items will be refunded within 7 days of ROR. International shipment charges will vary by location. Make us an offer! Seller is a manufacturer of analog. Mixed-signal and optoelectronic components and subsystems and is located in Fort Collins, CO. Please check out our store here for more items: Applicable sales tax will be collected on all orders shipped to Colorado.
Novazonic Model SQ52 Temperature Controlled "Piranha" Quartz Bath, Rebuilt
Novazonic Model SQ52 Quartz"Piranha Bath" Rebuilt Model SQ-52 Holds up to 2- 6" Cassettes Mounting Dim's are 15 1/2L x 9 1/2"W x 9"H Inside Dim's are 13 3/4"L x 8"W x 8 5/8"H No Manuals Ships fedex ground. Quoted shipping price is for lower 48 US states only. Logan Technologies. 254-773-4070
Azonic Model ST-12 Temperature Controlled "Piranha" PTFE Bath, Rebuilt
Azonic Technology Model ST-12D"Piranha Bath" Rebuilt Model ST-12D Temperture Range: 0' to 150' C Holds up to 2- 6" Cassettes Mounting Dim's are 18 3/4"L x 10 1/2"W x 11"H Inside Dim's are 16"L x 8"W x 9 1/2"H Includes manual. Ships fedex ground. Quoted shipping price is for lower 48 US states only. Logan Technologies. 254-773-4070
Tegal 803 Wafer RF Plasma Etcher (as is - condition unknown)
Condition of this machine is unknown. No testing was performed prior t o listing. Item is selling AS IS with no returns. B uyer is responsible for shipping arrangements and payments necessary. Cart is not included in this listing. Contact se ller for any questions regarding the condition of the machine or any other questions. Length: 45" Width: 22" Depth: 30"
Photomask Step Chuck for APT Mask Cleaner , PTFE Teflon , Holds 3" - 6" Squares
Teflon Step Chuck for 3" 6" Square Substrates Description: Mask holder for mounting 3"6" square substrates with graduated steps for manual loading. No vacuum or centrifugal hold-down mechanisms. Simple drop in loading. 1" Diameter spindle mounting typical of APT(Applied Process Technologies) Cleaners or Etchers. ALL PTFE Teflon construction. Condition: Minor wear due to softness of PTFE Teflon but masks appear to mount well and stay in place at low spin speeds. Purchased"As-Is" Buyer indemnifies Seller of any liability for use or mis-use of this Item. Specifications: Approx. 9" Length of step cross-embers Approx. depth 3" Weight: Approx 2 Lbs
UNIT UFC1100A, 1 SLM, N2 MASS FLOW CONTROLLER,S/N C11-76451
UNIT UFC1100A. 1 SLM, N2 MASS FLOW CONTROLLER.S/N C11-76451 REMANUFACTURERED BY COASTAL INSTRUMENTS
C84301 AST Steag Mattson SHS 1000VAC RTP Rapid Thermal Processor
C84301 AST Steag Mattson SHS 1000VAC RTP Rapid Thermal Processor(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# C84301 This AST RTP Rapid Thermal Processor(product: SHS. Model no. 1000VAC, serial no. 96020197; 208VAC, 3Ph, 60Hz, 43kW) looks to be in cosmetic condition, showing some signs of wear. It is missing one of the back doors. One of the rack-mount chassis on the back of the system was partially pulled out when I received it, and the cover was removed. Upon closer inspection, some of the wires have been disconnected from the back of this module. I lack the knowledge and equipment to formally test this system, and it is being sold as-is. It comes with a box of accessories, including spare cables, ... morevalves, boards, etc. as shown. It includes two spare quartz chambers and two 100mm quartz wafer trays, as well as four manuals, as shown. One of the quartz chambers has a small chip out of one of its edges, and the tube on the top has been partially broken on the end(please see the photos for detail) This system comes with everything shown in the photos. I do not have any additional parts or accessories for it. If you do not see it in the photos, it is not included. Approximate overall unpacked dimensions: 62"H x 50"W x 75"L. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below. Shipping LTL Freight. The unpacked weight of this item is 1.472.00 Pounds Buyer pays freight plus a fixed handling fee of $50.03(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) Freight charges are prepaid and will be billed based on the buyers confirmed shipping address. To avoid invoicing delays on freight items. Winning bidders should conta
REFURBISHED AXCELIS OEM TORQUE MOTOR ASSEMBLY USED ON NV20A 1169690R B
REFURBISHED AXCELIS TORQUE MOTOR ASSEMBLY USED ON NV20A AXCELIS PART# 1169690R QTY=1 PIECE Condition: Manufacturer Refurbished By placing a bid or making an offer on this item. Buyer agrees to all Terms and Conditions as outlined below. please note sales tax below) Sales Tax: Buyers with a shipping address in the following states AZ. CA, FL, ID, IN, MA, MN, NM, NY, TX, UT, VT, VA, WA will be charged all applicable State and Local jurisdictional tax: Sales Tax will be added to invoice once buyer has won the listing. PLEASE DO NOT PAY UNTIL REVISED INVOICE WITH APPLICABLE TAX IS SENT. The winner of this bid will not be charged sales tax if the seller is able to validate one of the following conditions: 1. Seller validates Buyer's non-taxable entity status. 2. Seller validates Buyer's Resale Certificate. 3. Seller validates Buyer's ... moreExemption Certificate. Bidders who qualify for any one of the aforementioned conditions agree to e-mail or FAX valid tax documentation to seller within 24 hours of winning the bid. Shipping: Items will ship within 3 business days from receipt of cleared Payment(including applicable tax and shipping charges) Buyer may arrange shipping with their own Freight company. Must contact seller prior to paying invoice and assume full responsibility. Otherwise a frieght cost will have to be calculated based upon buyers ship to address, buyer must wait for invoice containing cost. For items under 150 lbs. shipping price is as stated on listing. Payment: If any of the following conditions apply. Buyer should delay making payment until the seller sends a revised invoice: Buyer’s shipping address is in one of the states listed in the Sales Tax section above. Return Policy: A 7 day return policy will apply ONLY on items that are defective/non-functioning. Buyer must contact seller for Return Instructions/Authorization before returning item. If an item is returned by Buyer under our return policy and it is found by seller to not be defective/non-functioning or found to be damaged by Buyer. The
REFURB AXCELIS LEAK VALVE ACUATOR ASSEMBLY NV10 NV20 NV20A NV1000 OEM 1105441R B
REFURBISHED AXCELIS LEAK VALVE ACUATOR ASSEMBLY USED ON OMD OMDX AXCELIS PART# 110012660 QTY=1 PIECE Condition: Manufacture Refurbished By placing a bid or making an offer on this item. Buyer agrees to all Terms and Conditions as outlined below. please note sales tax below) Sales Tax: Buyers with a shipping address in the following states AZ. CA, FL, ID, IN, MA, MN, NM, NY, TX, UT, VT, VA, WA will be charged all applicable State and Local jurisdictional tax: Sales Tax will be added to invoice once buyer has won the listing. PLEASE DO NOT PAY UNTIL REVISED INVOICE WITH APPLICABLE TAX IS SENT. The winner of this bid will not be charged sales tax if the seller is able to validate one of the following conditions: 1. Seller validates Buyer's non-taxable entity status. 2. Seller validates Buyer's Resale Certificate. 3. Seller validates ... moreBuyer's Exemption Certificate. Bidders who qualify for any one of the aforementioned conditions agree to e-mail or FAX valid tax documentation to seller within 24 hours of winning the bid. Shipping: Items will ship within 3 business days from receipt of cleared Payment(including applicable tax and shipping charges) Buyer may arrange shipping with their own Freight company. Must contact seller prior to paying invoice and assume full responsibility. Otherwise a frieght cost will have to be calculated based upon buyers ship to address, buyer must wait for invoice containing cost. For items under 150 lbs. shipping price is as stated on listing. Payment: If any of the following conditions apply. Buyer should delay making payment until the seller sends a revised invoice: Buyer’s shipping address is in one of the states listed in the Sales Tax section above. Return Policy: A 7 day return policy will apply ONLY on items that are defective/non-functioning. Buyer must contact seller for Return Instructions/Authorization before returning item. If an item is returned by Buyer under our return policy and it is found by seller to not be defective/non-functioning or found to be damaged by B
MV Multi-Trap 355082S, Vacuum Inlet or Exhaust Trap, ISO-80, by Mass-Vac
MV Multi-Trap 355082S. Vacuum Inlet or Exhaust Trap, ISO-80, by Mass-Vac 100% GUARANTEED OR MONEY BACK WE HAVE OVER 10000 AMAT PARTS IN STOCK We offer international shipping Please- feel free to make is a reasonable offer. But God demonstrates His own love toward us. In that while we were still sinners, Christ died for us. Romans 5:8* Jesus Christ- the only man to ever change the time line.BC.AD* Eternity. a long time to be wrong. John 3:16 On Mar-24-13 at 01:56:04 PDT. Seller added the following information: Every buyer gets a MyStoreRewards invitation for cash back
BRANSON IPC PM-132 RF GENERATOR AND POWER MODULE
BRANSON IPC PM-132 RF GENERATOR AND POWER MODULE BRANSON IPC RF GENERATOR AND POWER MODULE#6534 MODEL PM-132 RF GENERATOR P/N-11845 POWER DISTRIBUTION MODULE SOLD AS 1 UNIT UNABLE TO TEST SOLD AS IS Shipping is the responsibility of the purchaser. We will. As a courtesy help make arrangements for the loading and shipping of a given item but the title is the customers as soon as it is paid for and loaded. We are not responsible for any damage done to an item while in transit. Packaging for shipment is done at an additional cost to the purchase price, and will vary according to the complexity, size and difficulty of making it secure for shipment. Please ask what this will be for a given item. There are no markups on freight costs. Only actual freight charges are asked for. Items less than 150 pounds and 108" in girth will go via Fedex ... moreor a similar carrier. Larger items will go via a LTL carrier. It is important that all shipping information be given before shipment: NAME, SHIPPING ADDRESS, AND TELEPHONE NUMBER NEED TO BE INCLUDED IN CORRESPONDENCE to ensure correct shipment. On larger items I need to be informed if it is going to a residence or business address with either dock high unloading or forklift available. Any additional charges that might be incurred and billed to me will be the responsibility of the purchaser to pay. All items are"sold as is where is" without warranty or guarantee as to suitability for purpose or condition unless noted in the add. Items are generally purchased through a number of sources or third parties and prior use or function cannot be vouched for. We generally do not have expertise in a lot of the items we sell and it is strongly recommended that when in doubt an expert in the field be consulted. We make a great effort to describe items accurately but have limited resources and expertise some errors or omissions may occur. Please call 505-459-2080 for any information you might need and we will make every effort to answer questions to the best of out knowledge. The ite
Varian DVP 500 Dry Oil-Free Piston Fore Vacuum Pump 16 cfm DVP500 Vacum ForeVac
Pulled working! 16 CFM rated 1 hp 208-230/460 volts 3-phase Doerr 1150 rpm motor, varian DVP-500 oiless piston pump 20 millitorr pressure. used 16CFM. 1HP 208/230V/460V/3ph S/N 5238351
MINDREADY burn in system
I do not kow anything about this except it was peratuonal when pulled from lab; Some type of electronic burn in system.has MANY modules part#55117-004. Need to move! Have TWO units. They weight probaby 500-800 lbs each! On wheels. With computer. Email me any questions. I a selling for a client of mine.
LAM Research Classic CPU 810-017034-300
Lam Classic CPU-300. Like new working guaranteed!
LAM Research CPU PCB 810-017034-300
Working SRAM tested on tool. Guranteed operation. Used on Lam Rainbow Classic tools. I also have the CPU. ADIO(004) serial I/O for these tools, if all are order 25% discount applies)
Minimotor SA Swiss Made Vacuum Assembly
Minimotor SA Swiss Made Vacuum Assembly Motor reads 2444 s 024 b k315 043451 434 PAYMENT: We accept Credit cards and PayPal Payments at checkout. We accept bank transfers only for purchases of high value.Unpaid item claims will be auto generated on day 4 by eBay. SHIPPING: We provide same day shipping on most orders(excluding weekends and holidays) Next Day and Second Day service is available for an additional fee. COMBINED SHIPPING DISCOUNT We always combine orders. If all items have been paid for and can be shipped in the same box. Split orders not paid for on the same business day, are not eligible for a combined shipping discount. GUARANTEE: We provide a 30 day guarantee on most items with a simplified return process through the eBay returns system. Please read our full terms prior to purchase. inkfrog terapeak. InkFrog Analytics.
Circuit Breaker 10 Amp 31-10182-00
AMAT 61-10182-00 We will warranty t his part for 90 days from date of purchase. Buy your CMP spares from the people who Know CMP with over 20 years of hands-on Experience. For technical support or questions regarding this item please contact Chris C. at 480-558-4377(Please contact us regarding: IPEC. Westech, Avanti, 372 372M 472, Avantgaard, Speedfam, CMP-V, Auriga, Gaard, Microplanarizer, wafer polisher. CMP, Chemical Mechanical Planarization, Ontrak, DSS200, Synergy, Integra, AMAT, Mirra, Reflexion)
Advanced Energy Type: Cesar 1330 POWER SUPPLY
Remanufactured Advanced Energy Type Cesar 1330 POWER SUPPLY UNIS 11-FLOOR(BACK) RB
MTI S3-2197 Wafer Coater, Lithography
Item up for sale is a: MTI Model S3-2197 Wafer Coater This item is NOT tested unless otherwise noted. If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. 150 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. Payment options: PayPal only or contact us for payment methods to pay on pickup. Warranty for US shipments: 14 days testing period unless the auction specified as final sale. Buyer must return the product back to the company in a timely manner. Refund upon receiving and inspecting of product and for the cost of the product only. Restocking fee may apply for the new product shipped. ... moreWarranty for International shipments: All international orders are final. No exception. Shipping: Buyer is responsible for all shipping cost including returns. Please note for domestic shipments(within US) we ship Fedex ground only. But you can use UPS ground shipping rates(calculated automatically by ebay) to estimate the shipping charges. Actual Fedex ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FEDEX does not ship to PO Boxes- please provide us with a regular address prior to completing the transaction. International Shipments: International shipments are shipped by USPS global express mail if such option is available. We process international shipments twice a week. Please note that Buyer is responsible for all custom duties/taxes/fees where applicable. Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding/buying. We do not mark merchandise values below value or mark items
PROFACE GP270-LG11-24V TOUCH PANEL LCD GP270 LG1124V
Manufacturer: PROFACE Model: GP270-LG11 Condition: USED Notes: Used surplus removed from a major U.S. fab. LCD removed from working system Included: 1) PROFACE GP270-LG11-24V TOUCH PANAL LCD GP270 LG1124V Specifications: 24V
25 Silicon Wafers 12" (300mm) P-Type Boron Both Side Polished
25 Silicon Wafers. P-type. Polished both sides. There is a photoresist coating on one side and a small amount of resist overspray(from the coating process) on the back. The resist has been exposed to light. g.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bjw%60hquvg%3Eg-13f5e107202-0xfc-
BUEHLER 49-1601-160 ECOMET I POLISHER GRINDER
YOU ARE BIDDING ON ONE BUEHLER 49-1601-160 ECOMET I POLISHER GRINDER 100/115 VOLT 50/60 Hz 5.0 AMPS SERIAL NUMBER 410-ECX-833. THIS IS USED SURPLUS REMOVED FROM A SHUTDOWN FACILITY AND IS GUARANTEED WORKING. IF YOU HAVE ANY QUESTIONS PLEASE CALL 480-200-0156 OR HIT ASK THE SELLER A QUESTION. MWG18) International Buyers Please Note: Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying. We do not mark merchandise values below value or mark items as"gifts" US and International government regulations prohibit such behavior. Check out my! Be sure to add me to your! RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2Bd0f%3E-13f5e107275-0xff-
Sympuls Aachen EBG 100/S Electron Beam Generator Beam Blanker
Product Description: Sympuls Aachen EBG 100/S Electron Beam Generator 220V 50Hz 20VA Tech Condition: This item is used and has been tested to be in good working condition. General Industry Technology Description for this type of product. The beam blanking and pulsing option requires a blanker plate assembly built into the Electron Gun and an additional blanker supply unit in the main Power Supply. Beam blanking deflects the electron beam into a beam trap on the side of the gun tube. So that the flow of electrons to the target is interrupted without actually turning off the beam. A sufficiently large negative voltage on the plate opposite the angled plates will push the electrons into the trap. The deflecting voltage applied to the blanker in the gun is controlled on the EGPS Power Supply. The blanker voltage required to blank the beam depends ... moreon the gun configuration and on the beam energy. Blanking is useful for guns which can not be cut off by means of the grid due to high current or gun geometry. Blanking can be used to pulse the final beam current repeatedly on and off. Unlike other types of pulsing. Beam blanking can be used with ECC(emission current control) as blanking does not affect emission from the cathode. There are two different methods of pulsing with the beam blanker: 1) TTL switched pulsing and 2) capacitive pulsing with a pulse junction box. The first method is slower as the blanker power supply is switched off and on in response to a TTL signal input. A TTL(transistor-transistor logic) pulse source is required. Such as a separate user-supplied pulse generator unit. The second method. Capacitive pulsing, can be faster. But long pulses are not achievable. It requires a small pulse junction box attached to the electron gun and a separate user-supplied pulse generator capable of producing positive voltages(usually up to +600 V) at the desired rate. The same pulse generator can also be used for capacitive pulsing with a pulse junction cylinder. Section 4.8.2 above) DESCRIPTION OF BLANKER CONTROL
PRI Equipe Robot Model: ABM-405-1-S-CE-S293 s/n 11668
PRI Equipe Robot Model: ABM-405-1-S-CE-S293 s/n 11668 Cover removed for photos. Some screws around outside cover are missing. Please contact us for more information. Thanks for looking. PLEASE NOTE: INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES
ePAK Solar Cell Storage boxes with Process Boats
Shipping available at buyer’s cost Email for more pictures
Kensington Lab Wafer Robot Model# WH3B TT/CR s/n 91-320-02
Kensington Lab Wafer Robot Model# WH3B TT/CR s/n 91-320-02 Please contact us for more information. Thanks for looking. PLEASE NOTE: INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES
Kensington Wafer Handler Robot Model# 25-3700-1425-07 s/n 00-640-15AT
Kensington Wafer Handler Robot Model# 25-3700-1425-07 s/n 00-640-15AT Please contact us for more information. Thanks for looking. PLEASE NOTE: INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES
Genmark Wafer Robot Model# 2LA0497080 s/n 9961152
Genmark Wafer Robot Model# 2LA0497080 s/n 9961152 Please contact us for more information. Thanks for looking. PLEASE NOTE: INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf%60%60%3E-13f65441118-0xfa-
KOMATSU 9" TOUCHSCREEN MONITOR HMI KDP1640EHE-11 NIKON NSR S204B
This unit was removed from working equipment. It's used but works great.
EDWARDS RV8 DUAL STAGE ROTARY VANE VACUUM PUMP 115V/230V A65401903
Manufacturer: EDWARDS Model: RV8 Condition: Used Notes: Removed from a major U.S. fab. Pump is in good condition Included: 1) EDWARDS RV8 DUAL STAGE ROTARY VANE VACUUM PUMP 115V/230V A65401903 Specifications: 1-Phase 115V/230V 50/60Hz
Lot Furon Valve UPLM-144-M Millapore Filter Parker Partek GP-131-31 High Purity
Lot Furon Valve UPLM-144-M Millapore Filter Parker Partek GP-131-31 High Purity This item qualifies for eBay Fast N Free- 1 day handling and free domestic shipping with USPS Priority Mail. We care enough to take multiple pictures of our items for sale and all pictures are of the actual item for sale. This item is ready to be shipped upon your purchase. Please email us if you have any questions on this item. All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 14 day not DOA satisfaction guarantee. GC_gsrx_vers_523(GS 6.6.3(523)
Spectroline PC-1100A UV Erasing System
The PC-1100A erasing cabinet is compact. Portable, heavy-duty units designed for high-volume chip erasure! High UV intensity! Fast erasing times! Large load capacities! Model features high-intensity. Short-wave UV(254 nm) ozone-free, low-pressure mercury vapor, modular quartz grid lamp assemblies mounted on specular aluminum reflectors. The cabinet has a large loading drawer with erasing capacities ranging from 84 to 336 EPROMs. Units also accommodate PC boards, metric cards and silicon wafers up to 8 inch(203 mm) This unit provides outstanding UV irradiance uniformity to ensure quick and complete erasure of programmed memory from every EPROM chip or wafer. The PC-1100A can each produce erasing times as fast as 5.4 minutes
2583 Lot of 2 MKS/HPS 421 Cold Cathode Sensor
You Buying a Used. Good Conditions. Sold As Is. Lot of 2 MKS/HPS Cold Cathode Sensor Mod: 421 2583 All items are sold as is without warranty unless specifically stated in the posting. This does not mean that the unit doesn’t work. Only that we have not tested or checked it out. This may also mean that we are not knowledgeable in this specific item The item comes with what you see in the pictures. If you don’t see it you probably won’t gel it. Feel free to email me your postal code. If this would ship to a commercial or residential address, and if there is a forklift/loading dock there, and/or if a lift gate would be necessary for a total shipping and handling quote prior to bidding. The charge for freight will be determinate by the destination upon the ending of the auction. There will be a packing fee on larger and heavier items. It will ... morebe determined by the size and the care that has to go into packing an item. Thanks.
Electroglas Wafer Prober 1031 w/ Olympus Microscope Head
The item up for sale is: For Parts or Repair* Electroglas Wafer Prober Model 1031 includes Olympus Microscope Head This item is non-operational. Or its operational condition cannot be determined. If you have any question regarding this unit please feel free to contact me and I will do my best to answer your questions Included is only what is mentioned: 1 unit as shown in picture. Picture represents the actual item. No other cables. Parts, power adapters, software or any other accessories included. NOTE: The sale of this item is final; we will not accept a return under any circumstances. Please examine the item closely. If you need additional information. Photos or would like for us to perform some basic tests to determine the condition please feel free to contact us prior to your purchase. 120 Pounds on a pallet Shipping: Buyer is responsible ... morefor all shipping cost including returns. Please note for domestic shipments(within US) we ship Fedex ground only. But you can use UPS ground shipping rates(calculated automatically by ebay) to estimate the shipping charges. Actual Fedex ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FEDEX does not ship to PO Boxes- please provide us with a regular address prior to completing the transaction. International Shipments: International shipments are shipped by USPS global express mail if such option is available. We process international shipments twice a week. Please note that Buyer is responsible for all custom duties/taxes/fees where applicable. Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding/buying. We do not mark merchandise values below value or mark items as"gifts" US and International government regulations prohibit such behavio r International Shipping Limita
Verteq 1075227-707 SRD Controller
VERTEQ SRD CONTROLLER MODEL: 1075227-707 WE DO NOT HAVE THE MEANS TO TEST HERE BUT WE WILL GUARANTEE THE UNIT TO BE FUNCTIONAL. UNIT HAS SOFTWARE REVISION 3-2. UNIT IS USED AND HAS SOME SCUFFS AND SCRAPES FROM NORMAL WEAR. UNIT IS MISSING SOME SCREWS ON THE FRONT AND BACK. ONLY WHAT IS PICTURED IS INCLUDED IN AUCTION. GUARANTEED NOT DOA PAYMENT We accept payments in US Dollars through Paypal Please allow extra days to clear the fund if you pay by an eCheck If you prefer to pay with a Visa. Mastercard, or American Express card please contact me after the auction ends We will hold your order pending receipt of your payment for up to 3 days if no immediate payment is required If the payment is not received in 3 days the sale will be cancelled and the item will become available for sale WARRANTY AND RETURNS We guarantee our items not DOA unless ... moreotherwise stated in auction. We ask that you please contact us first if you would like to return a purchase. If you have any issues or concerns with your purchase please contact us. We will do our best to make it right. Returns for defective items are accepted within 14 days of receipt. We offer an exchange or money back. Shipping for returned items that are not defective is the responsibility of the buyer. We ship exactly as described in auction. If manuals. Software, packaging etc. are not mentioned, they are not included. Returned items must be in the same condition as they were shipped. SHIPPING We ship 1-2 business days after cleared payment(excluding holidays and weekends) Shipping is via UPS Ground or USPS Priority Mail If you need a different method of s hipping please contact us before auction ends and we will do our best to accomodate you. INTERNATIONAL BUYERS We ship internationally on a case by case basis. If you are an international buyer please contact us before buying or bidding on any of our items. We will not ship internationally unless we are contacted first. Import duties. Taxes, and charges are not included in the item price or shipping cost. These charg
KLA-TENCOR SURFSCAN 6420 USED
A surface inspection tool for unpatterned wafers. It accommodates wafer sizes: 100. 125, 150, and 200mm(round or rectangular substrates) The 6420 is a film surface analyzer System detects sub micron particles on polysilicon, tungsten, epitaxial and polished silicon. KLA-Tencor Surfscan 6420 Features/Specifications: Non-patterned wafer film surface analyzer Accommodates wafer sizes: 100, 125, 150, 200mm(round or rectangular substrates) One automatic wafer handler Sensitivity Most surfaces: Better than 0.20µm@ 95% For polished surfaces: Better than 0.10µm@ 95% capture rate 0.12µm defect sensitivity on bare silicon Repeatability less than 1.0% at 1 standard deviation(Mean count greater than 500, 0.204 diameter latex spheres on bare silicon) Contamination less than 0.005 particles/cm greater than 0.15µm Haze sensitivity: 0.02ppm ... moreDefect Map& Histogram with zoom Illumination Source 30mW Argon-Ion Laser, 488nm wavelength 2D signal integration 50µm spatial resolution Non-contaminating robotic handler X-Y Cordinates Software Random Access Sender/Receiver Unit For more information on the Surfscan series, Contact if you have any question. Refurbed condition price is less than $130K.
Gasonics Plasma Asher 3010 Microwave Chamber and Match Assembly
Gasonics 3010 Plasma Asher Microwave Chamber and Match Assembly Will ship Fedx ground. So please included your phone# No shipping. FOB our dock in Temple, Texas We'll skid at no charge. Crating to be paid by customer. Logan Technologies. LP 254-773-4070
Parker Veriflo SM-SQMICRO130E High Purity Miniature Pressure Regulator 250PSI
Parker Veriflo SMSQMICRO130E6011 SQMICRO130E High Purity Miniature Pressure Regulator 250PSI PLEASE. LOOK IN PICTURES. YOU GET EXACTLY WHAT YOU SEE! SHIPPING TODAY!
Applied Materials AMAT 0021-16287-006 BOTTOM Shield 300MM
Applied Materials AMAT 0021-16287-006 BOTTOM Shield 300MM SOLD AS IS! NO RETURN! NO REFUND! NO WARRANTY! PLEASE. LOOK IN PICTURES. YOU GET EXACTLY WHAT YOU SEE! SHIPPING TODAY! 1f3eb5.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28%3E1f3eb5-13f68848cc3-0xc4-
Applied Materilas AMAT 0021-16782 LINNER Shield 300MM COPPER
Applied Materilas AMAT 0021-16782 LINNER Shield 300MM COPPER:RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28e013%3F%3E%3A-13f68848bb2-0xec-
* Brookfield Model TC-200 Temperature Bath
Listed is this super nice temperature bath from Brookfield. Model TC-200 Item is in good working condition Check out my other listings for a Brookfield model TC-350 temperature bath I have listed Shipping& Handling Rates Below Sales Tax Added To All California Locations USA Lower 48 States $48 via FedEx Ground NO INTERNATIONAL SHIPPING NO INTERNATIONAL SHIPPING NO INTERNATIONAL SHIPPING Paypal Verified Feedback gladly given on every transaction Thanks for looking and good luck JW95662. I am a Trading Assistant- I can sell items for you!
AMAT APPLIED MATERIALS 0090-36416 TEOS TEMPERATURE CONTROL BOX UNIT MODULE
YOU ARE BUYING ONE AMAT APPLIED MATERIALS 0090-36416 TEOS TEMPERATURE CONTROL BOX UNIT MODULE. WHAT IS PICTURED IS WHAT YOU WILL RECEIVE. THIS IS USED TRW MRO SHELF SURPLUS AND IS GUARANTEED NOT D.O.A. IF YOU HAVE ANY QUESTIONS PLEASE CALL 480-200-0156. OR HIT ASK THE SELLER A QUESTION. G1AMATBOX) International Buyers Please Note: Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying. We do not mark merchandise values below value or mark items as"gifts" US and International government regulations prohibit such behavior. Check out my other items! Be sure to add me to your favorites list!
CTI 8039882G001 1-3 Splitter Quad Helium w 4 Cap/ Cover
Item up for sale is a: CTI Model 8039882G001 1-3 Splitter w 4 Caps/ Covers This item is NOT tested unless otherwise noted. If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. ~4 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. Payment options: PayPal only or contact us for payment methods to pay on pickup. Warranty for US shipments: 14 days testing period unless the auction specified as final sale. Buyer must return the product back to the company in a timely manner. Refund upon receiving and inspecting of product and for the cost of the product only. Restocking fee may apply for the ... morenew product shipped. Warranty for International shipments: All international orders are final. No exception. Shipping: Buyer is responsible for all shipping cost including returns. Please note for domestic shipments(within US) we ship Fedex ground only. But you can use UPS ground shipping rates(calculated automatically by ebay) to estimate the shipping charges. Actual Fedex ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FEDEX does not ship to PO Boxes- please provide us with a regular address prior to completing the transaction. International Shipments: International shipments are shipped by USPS global express mail if such option is available. We process international shipments twice a week. Please note that Buyer is responsible for all custom duties/taxes/fees where applicable. Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding/buying. We do not mark merchandise values below
Rebuilt Temescal Electron Beam Emitter Assembly and Brackets
This auction is for a Rebuilt Temescal Electron Beam Emitter Assembly and Two Brackets as Pictured. This Unit is used for E-Beam Evaporation of Thin Films and Vacuum Vapor Deposition of Metals and Dielectrics. International Buyers are responsible for all Duties. Tariff's and VAT's.
XY plus theta stage 50x50x15mm, high precision, ceramic motor driven
X axis. Y axis, and Theta motion control table is up for sale. Table is in great condition. Any questions please feel free to ask. Please visit our website for new updated Motion Tables at Thank you!
Star Gate Technologies ACL II 500098-02 Used 14 Day DOA Warranty
Star Gate Technologies ACL II 500098-02 Used 14 Day DOA Warranty STORE LOCATION ED1A2A Absolute. Has used its best efforts to inspect the item(s) for sale and create descriptions and furnish photographs that fairly and adequately depict the type. Condition and image of the goods at the time of sale. Absolute makes no expressed or implied warranties or representations regarding the condition or suitability of the goods and to the extent allowed by law, specifically disclaims any expressed or implied warranties, including but not limited to any warranty of merchantability or fitness for purpose. Therefore Absolute offers its customer’s a 14-day DOA warranty on all its listings. All items are to be paid for in U.S. dollars(NO Exceptions) at the end of the auction or time of order unless a separate agreement has been made before hand. Within ... moreone business day of the end of auction or your order placement you will be contacted via email about the items you purchased and the total amount due. Packages are usually shipped within 48 hours of payment receipt(excluding Saturday/Sunday)
Wafer Carrier with stick handle
Wafer carrier with stick handle XuMatic Inc is a high technology company dedicated to developing thin film solar cells technology. Semiconductor equipment and solar materials to produce solar energy. Its mission is to design and implement new solar energy equipment and materials including copper indium gallium selenide(CIGS) thin film deposition process enabling lower solar cell prices and wider adoption of solar power. Surplus Equipment is sold as is and Xumatic Inc shall not be liable for any use of misuse of this product Contact: info@xumatic.com; websit: www.xumatic.com
MKS 153C-11374 Smart Throttle Valve, 20 mm, KF-40
MKS 153C-11373 Smart Throttle Valve. KF-40, 20 mm S/N 92290102L Untested. Right of return, Top cover has been replaced. Quoted shipping price is for lower 48 states US customers only. Ships FedX Ground. Please provide a phone# Call Logan Technologies LP. 254-773-4070 g.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bjw%60hquvg%3Eg-13f68848d61-0x100-
MDC, 821001-2035, Nipples - Straight Tube Fitting ~ NEW
Part Number: 821001-2035 You are bidding on this new. MDC, Part No. 821001-2035. This is a Nipples- Straight Tube Fitting. Please if you have any questions about this item or any of the many others we have listed just let us know. Part Details: MODEL REFERENCE: REF# L400-2 PART NUMBER: 821001 FLANGE ISO REF. NW100 FLANGE O.D: 5.12 TUBE SIZE: 4 TUBE O.D. 4.00 TUBE WALL: 083 A: 10.25 Compass Bay Communications ships worldwide(US and International) We will ship within three business days after payment is received. All shipments with sales totaling in excess of $1000.00 will be insured for 70¢ on the dollar by us. Buyer pays all shipping charges. For international shipping. Customer also pays duties and taxes. This item will require shipment via a freight carrier due to either weight or dimensions. Please contact us for a shipping quote ... moreprior to bid or purchase. You are welcome to make your own shipping arrangements but there will be a $50.00 fee to cover the labor and materials required to properly package the item for shipment. We can not ship to a PO Box. We do however welcome pickups. Compass Bay Communications will accept, Payment must be received within 5 days of auction closing. We do not accept C.O.D. Compass Bay Communications provides a 30 day warranty on most new and used equipment. If for some reason an auction is sold"AS-IS" Then it will be explicitly stated in auction. CompassBay provides superior web-based auction solutions for your excess merchandise and business asset management. Whether it's obsolete inventory. Product returns, sporting goods, apparel, or consumer electronics, CompassBay will craft an eBay auction suited to your merchandise. We list new items every day. So be sure to check out our Current Auctions page frequently! Thank you for checking out Compass Bay auctions! Powered by Powered by The free listing tool. List your items fast and easy and manage your active items. Powered by The free listing tool. List your items fast and easy and manage your active items.
Asyst SMIF-300FL Front-Load Port FA 300FL / Wafer Processing
Item up for sale is a: Asyst Model SMIF-300FL Front Load Port Wafer Processing The SMIF-300FL. Herein referred to as the Front-Load, is a wafer load port for presenting a cassette of 300mm wafers to the process tool while maintaining a better than Class 1 cleanroom environment for the wafers. Specifications: Wafer Size: 300mm Height: 1385mm(54.53" Width: 470mm(18.5" Depth: Front of Pod Advance Plate to BOLTS Interface Plane: 463mm(18.2" Reserved Door Opening/Closing Space Per BOLTS: 100mm(3.93" Mounting: Front-Load is designed to directly mount onto a Process Tool having a SEMI E63(BOLTS) interface. FOUP Load Height: 900mm(35.43" Horizontal Datum Plane Laser(Wafer Mapping Option) Diode Laser Wavelength: 800nm Laser Power: 0.2mW(Class 1) Door Speed: 3 inches/sec. 76.2 mm/sec) OPEN/CLOSE Power: 24 VDC(+10% 5% from external ... moresource. 1.5 Amp standby, 3.5 amp max. Communications: Serial RS-232C(SECS I/ SECS II/ ASCII) Parallel Carrier Types: 300mm 25-Wafer FOUP(Front Opening Unified Pods) Environmental: Operating Temperature: 10°C to 40°C Operating Humidity: 10 to 75% Storage Temperature: 10°C to +55°C Storage Humidity: 5 to 90% non-condensing Cleanliness: Particles per wafer Pass(PWP) 0.009 particles greater than 0.09µm Compliance: Front-Load is CE certified to directives 89/392/EEC and 89/336/EEC and Complies with S2-93A Safety Guidelines. This item is NOT tested unless otherwise noted. If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. 150 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. Payment options: PayPal only or contact us for payment methods to pay on pickup. Warranty for US shipments: 14 days testing period unless the auction specified as final sale. Buyer must return the product back to the company i
Genmark LS-4 LS-421E-1001 Flexware Controller Card 860214001
Item up for sale is a: Genmark Model LS-4 LS-421E-1001 Flexware Controller Card Part NO. 860214001 This item is NOT tested unless otherwise noted. If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. ~5 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. Payment options: PayPal only or contact us for payment methods to pay on pickup. Warranty for US shipments: 14 days testing period unless the auction specified as final sale. Buyer must return the product back to the company in a timely manner. Refund upon receiving and inspecting of product and for the cost of the product only. Restocking ... morefee may apply for the new product shipped. Warranty for International shipments: All international orders are final. No exception. Shipping: Buyer is responsible for all shipping cost including returns. Please note for domestic shipments(within US) we ship Fedex ground only. But you can use UPS ground shipping rates(calculated automatically by ebay) to estimate the shipping charges. Actual Fedex ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FEDEX does not ship to PO Boxes- please provide us with a regular address prior to completing the transaction. International Shipments: International shipments are shipped by USPS global express mail if such option is available. We process international shipments twice a week. Please note that Buyer is responsible for all custom duties/taxes/fees where applicable. Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding/buying. We do not mark m
Bay Voltex Heat Exchanger 30 Amps s/n 17835R0198
Bay Voltex Heat Exchanger 30 Amps s/n 17835R0198 Please contact us for more information. Thanks for looking. PLEASE NOTE: INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES
PCL IPA VAPOR DRYER, Stainless Steel, Great Condition
PCL IPA Vapor Dryer Stainless steel construction- complete shell design Clear PVC flexible front shields for access of use Front Access plumbing Front Access Control Panels Controllers: Modutek T16a Process Timer for Vapor Dryer Modutek T16a Process timer for Front dip tank Modutek T16a Process Timer for Rear dip tank All process timers are microprocessor based. Easy to use and repair if needed. Appear to be in excellent condition. EPO: Mushroom switch. Current SEMI standard requirement Microprocessor based On/Off controls for Automated Drains Process Baths: IPA Vapor Dryer: Left rear of station Front Dip tank with stainless steel retractable cover(says"heated" Rear Dip tank with stainless steel retractable cover Ancillary: Flame Suppression System Aspirator Wand DI/N2 guns Wax Coated sprinkler head Rear exhaust pull Cooling coils ... moreFacilities: 120V. 16A, 1 Phase 60PSI N2 Dimensions: Approximately 32" wide x 38" front to back by 77" tall; Excellent Condition
Quartz Wafer Boats pair of 2 for furnace 4 inch 25 slot
Listing is for a pair of used Quartz Wafer Boats for a furnace.The slot for the waffer is 4 inches wide& each has 25 slots for waffers. The over all length of each is 7 1/2 inches& 6 1/2 inches. The over all width of each is about 4 inches for both.
Fusion Semiconductor 200 ACU Asher, SC4729A, Axcelis Systems 200ACU
Fusion Semiconductor 200 ACU Asher For sale- a Fusion Semiconductor 200 ACU Asher. Part Number SC4729A. Looks like it was made by Fusion Systems Corporation. Serial number AU6A360AK if that tells you anything. Researching the piece online many of the listings included the name Axcelis. So I have used that in the title for the search engines. I do not see that name on the unit anywhere. The asher is in what I would consider to be pristine physical condition. It was donated by Motorola to a major state university which then never bothered to take it out of the crate until they were ready to sell it as surplus. So the functional condition is unknown and NO warranty is offered. Just so that we are clear on that. This is an item that has not been tested, even for power. As such it may work fine or it may have problems. So any purchase entails ... morea certain degree of risk. It is up to you to decide whether the risk level is acceptable to you. And if not you need to pass on this particular item, as NO returns will be allowed. Given the unknown working condition it would be wise to budget for repairs and then hopefully be pleasantly surprised if it doesn’t need any. Prior to the university uncrating it so they could sell it the asher was apparently in a full crate designed I would suppose to keep it clean. I have done my best to shrink wrap it since they tossed the upper portion of the crate. All that remains is the base as pictured. Which is set on what appears to be shock absorbing rubber feet. I don’t think they would have gone to the trouble to give it such a nice home if it were a parts or refurbish candidate. But I have no way to test that theory. The asher looks complete in the sense that I don’t see any evidence of something having been stripped off of it. And I don’t see anything that is obviously broken. That said. My experience with semiconductor fabrication equipment is pretty limited, so I could easily be overlooking something. In one of the pictures the lower stainless skirting has been removed. That is just w
Brooks 002-7090-05 AcuTran 7 Wafer Transfer Robot+002-5870-05 Controller+Mappers
Search our eBay Store! Brooks 002-7090-05 AcuTran 7 Wafer Transfer Robot+002-5870-05 Controller+Mappers SKU: JV-TER-C-AUTO7090 Condition: Used Packaging: Pallet/Skid Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Brooks Automation 002-7090-05 AcuTran 7 Atmospheric Wafer Transfer Robot w/002-5870-05 Controller and Copper Mapper Module Stations PLEASE NOTE: THIS SYSTEM WAS A FORMER LAM RESEARCH ASSET. THIS SYSTEM DOES NOT APPEAR TO BE COMPLETE. THIS LISTING ONLY INCLUDES WHAT IS PICTURED. System Includes: 1x Brooks Automation AcuTran 7 002-7090-05 Wafer Transfer Robot· This unit is in very good condition. This unit powers ... moreon. 1x Brooks Automation 002-5870-05 Controller· The front panel is not fully mounted to the housing. It is slightly open. This unit powers on. 1x Custom Power Supply· This unit is fully functional. It distributes power to the robot. Controller and mappers. 1x Computer System· Asus P4B533-V Motherboard(Pentium 4 2.4GHz. 512MB RAM, 120GB HDD) Windows XP Professional· 350W Power Supply· Floppy Disk Drive· CD-RW Drive· Zip Drive· Standard PS/2 Keyboard· Trackball Mouse· Keyboard with Integrated Trackball· Viewsonic VG730m 17” Monitor(VGA and power cable included) 1x 200mm Copper Mapper Module Station· This station appears to be custom assembly and is in excellent condition. Powers on. 1x 300mm Copper Mapper Module Station· This station appears to be custom assembly and is in excellent condition. Powers on. 1x Hawking PN400TP 10BASE-T 4-Port Hub· This unit powers on. Power adapter is included. Overall Dimensions(L" x W" x H" 30 x 54 x 52 3/4 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)75
MKS Model 122AA-00010BB Pressure Transducer, 10 torr, 1/2" VCR
MKS Model 122AA-00010BB Pressure Transducer 10 torr 1/2" VCR Serial# 96061333A Input +/ 15 VDC Output- 0- 10 VDC Untested. 14 day right of return. Ships FedX Ground. Please provide a phone# Quoted Shipping cost are for lower 48 states US customers only. Call Logan Technologies LP. 254-773-4070
Lam Research 853-330589-001 Rev A J1 J2
Item up for sale is a: Lam Research Model 853-330589-001 Rev A J1 J2 This item is NOT tested unless otherwise noted. If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. ~2.5 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. Payment options: PayPal only or contact us for payment methods to pay on pickup. Warranty for US shipments: 14 days testing period unless the auction specified as final sale. Buyer must return the product back to the company in a timely manner. Refund upon receiving and inspecting of product and for the cost of the product only. Restocking fee may apply for the new ... moreproduct shipped. Warranty for International shipments: All international orders are final. No exception. Shipping: Buyer is responsible for all shipping cost including returns. Please note for domestic shipments(within US) we ship Fedex ground only. But you can use UPS ground shipping rates(calculated automatically by ebay) to estimate the shipping charges. Actual Fedex ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FEDEX does not ship to PO Boxes- please provide us with a regular address prior to completing the transaction. International Shipments: International shipments are shipped by USPS global express mail if such option is available. We process international shipments twice a week. Please note that Buyer is responsible for all custom duties/taxes/fees where applicable. Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding/buying. We do not mark merchandise values below valu