Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
1512.23
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Part No: V110207. The physical condition is great.
$
209.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Novellus Systems 15-10148-20 Anti-Deflect Top Plate is new surplus. The physical condition is great. FORK TOPLATE A ANTIDEFLECT SEQ C2 SEQUEL-S. ... morePart No: 15-10148-20.
$
300.00
Buy It Now
$64.27 Shipping
Condition: New – Open box
Location: Tampa, United States
UCC 2003-5 FLAPPER 373NEW OPEN BOX. Product as pictured. All product part numbers are as pictured. All products as pictured.
$
512.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: 304-05343-00.
$
408.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a AMAT Applied Materials 300mm Endura 5500 PVD Physical Vapor Deposition System. The physical condition is good, but there are signs of previous ... moreuse and handling. Part No: 0020-24962. Serial numbers or country of manufacture may vary.
$
349.00
Buy It Now
$22.00 Shipping
Condition: Used
Location: Petaluma, United States
The most important part of a sale is getting the product to you in good condition.
$
1812.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: 105528006. Serial numbers or country of manufacture may vary.
$
149.00
Buy It Now
$15.55 Shipping
Condition: Used
Location: Estero, United States
$
1210.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: 100687001. CHAMBER,TOP FLANGE.
$
3209.22
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
Model No: ADH Adhesion Process Station. The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of ... moremanufacture may vary. Item Condition: Untested, Sold As-Is.
$
1805.21
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
Part No: REPA04. This Heraeus REPA04 9400 Quartz SRD Dispersion Plate SCP is refurbished surplus. The physical condition is good, but there may be signs ... moreof previous use and handling. PLATE, DISPERSION, QTZ RECIRC, SULFURIC, 9400.
$
810.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Part No: 105528005. COVER,CNRCUP,125MM. This Varian Semiconductor Semiconductor is new surplus. The physical condition is great. Serial numbers or country ... moreof manufacture may vary.
$
703.23
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Part No: H5408002. The physical condition is great. SHIELD MACH MASS ANA #2. Serial numbers or country of manufacture may vary.
$
547.49
Buy It Now
$14.99 Shipping
Condition: Used
Location: Cedar Hill, United States
$
1601.20
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
This Brooks Automation 002-7391-38 Wafer Prealigner CHE Copper Cu is used untested surplus and is being sold as-is. The unit had a hand written note stating ... morethere were "wafer sensor errors". There are minor scuffs to the paint and discoloration on the bottom of the unit (see photos).
$
756.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This SVG Silicon Valley Group 99-38154-01 Wafer Shuttle Robot Arm 9002 90S is used working surplus. The physical condition is good, but there are signs ... moreof previous use and handling. Removed from a ASML SVG Silicon Valley Group 90S DUV Lithography System.
$
999.95
Buy It Now
Free Shipping
Condition: For parts or not working
Location: West Sacramento, United States
It provides a temperature ranging from -203o C to 20o C with heat removal capacity ranging from 0.5 Watt to 30 Watt. The CRYOTIGER® I Cooling System consists ... moreof basic modules: compressor, cryocooler and gas lines.
$
410.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Part No: 208002. The physical condition is great. TUBE,ELECTRODE PUMP. Serial numbers or country of manufacture may vary.
$
509.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Part No: H7593001. The physical condition is great. Serial numbers or country of manufacture may vary.
$
505.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: CX1209P. The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary.
$
35.00
Buy It Now
Free Shipping
Condition: Used
Location: Fargo, United States
Shimadzu 228-36917-01 Stator Plate LPV 5PV LC-2010/ SIL-20/SIL-HT/Prominence-i Used. Replaced in the house of regular preventative maintenance. Stator ... morefor LPV (Low Pressure Valve), 5PV for LC-2010 (HT), SIL-20, SIL-HT and LC-2030
$
3250.00
Buy It Now
Condition: Used
Location: Santa Clara, United States
$
1510.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Novellus Systems 15-116157-00 Spindle Lift Assembly is used working surplus. The physical condition is good, but there are signs of previous use ... moreand handling. ASSY, SPINDLE LIFT. Part No: 15-116157-00.
$
4506.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: ICPL. The physical condition is good, but there are signs of previous use and handling. Assembly Part No: 5086-405382-11 ICPL ELEC ASSY #01. ... moreModel No: Interface Block Chill Plate Process Station.
$
555.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. CASSETTE SUPPORT EP.
$
804.24
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Mattson Technology 304-16239-00 is new surplus. The physical condition is great. Part No: 304-16239-00. Serial numbers or country of manufacture ... moremay vary.
$
425.00
Buy It Now
$38.91 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Chuck 6” For EG 1034 EG 2001 EG 3001 EG 2080 Wafer Probe ID-AWW-7-1-5-5Condition: New. Not tested. We sell it at AS Is , where is, no return. No warrantyPls ... morecontact us by email if you have any questions. Appreciate your time.
$
901.24
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The part number is not marked on the pincette. The physical condition is great. Part No: 200mm 8" Wafer Pincette End Effector.
$
750.00
Buy It Now
$29.48 Shipping
Condition: New – Open box
Location: San Jose, United States
AMAT Spares is unable to test item to verify the condition. AMAT Spares. We are also interested in your unwanted or excess Applied Materials inventory.
$
509.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Novellus Systems G17-08665-00 Plate Blank-off Chamber is new surplus. The physical condition is great. Serial numbers or country of manufacture may ... morevary. Inventory # CONJ-1667.
$
405.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Serial numbers or country of manufacture may vary. Part No: G1820-65343.
$
1005.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
SCP Global Technologies 895-112-4B 200mm QDR Quick Dump Rinse Station with V-Shaped Spray New. This SCP Global Technologies 895-112-4B 200mm QDR Quick ... moreDump Rinse Station is new surplus. The physical condition is great.
$
2502.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0040-09957 200mm ESC Notch 0020-32963 0040-09961 is used working surplus. The physical condition is good, but there are signs ... moreof previous use and handling. Model No: PEDESTAL ASSY, 200MM, NOTCH.
$
2999.99
Buy It Now
$295.00 Shipping
Condition: Used
Location: Milton Freewater, United States
THIS IS A EXCELLENT WORKING DESPATCH LBBI-69A-1 OVEN. MAX TEMP 400F.
$
805.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Serial numbers or country of manufacture may vary.
$
1350.00
Buy It Now
$22.50 Shipping
Condition: Seller refurbished
Location: San Jose, United States
$
602.20
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: 17021871, 99065, Electron Shower Aperture (Qty. Part No: 99752A, 1277408, Pole, Short (2.00"), Electrostatic ... moreQuadrapole Crosses to OEM No.:17118510 (Qty.4). ).
$
303.60
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Part No: 20501DAL0003000. This MRC Materials Research 20501DAL0003000 Aluminum VP Inset is new surplus. The physical condition is great.
$
1200.00
Buy It Now
$96.42 Shipping
Condition: For parts or not working
Location: Rockwall, United States
NEW ELECTROGLAS 4090U PSM POWER SUPPLY MODULE NOTES no copy.No fake,no renew or rebulid, 100% genuine. in this item please confirm the right model number ... morebefore you order it. if you have any questions please mail us before you purchase it. in this item we can provide you more photos in details if you wanna. this item just include what you can see in the picture, all the accessories are showwed in the photos. SHIPPING we shipped worldwide. we are located in Shanghai China. we will send this item to you by EMS normally.And it will take 1 business day to handling item before we send it. please left your phone number in the buyer details.It helps your local Customs do the declaration. please confirm your ebay address and paypal one are the same. FEEDBACK if our transaction is not to your satisfaction.Please contact us before leaving feedback. we will do our best to resolve the problem to your satisfaction in order to receive positive feedback. we believe good communication ensures a successful transaction.Giving both the best chance for a positive trading experience on ebay. if there is a problem.We will do our best to handle it with you together. if you have any advice about our shop.We are always hearing.
$
14999.00
Buy It Now
Condition: Used
Location: Singapore
Local GST for Singapore Sales: 9%. Cash and Carry for Local Sale only.
$
1510.21
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
This Novellus Systems 04-708353-01 200mm Table Cooling Wafer is refurbished surplus. The physical condition is good, but there may be signs of previous ... moreuse and handling. Manufacturer Refurbished. Serial numbers or country of manufacture may vary.
$
2009.23
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This AMAT Applied Materials 0040-99949 200mm Unipolar Electrostatic Chuck is new surplus. Includes test report. The physical condition is great. Model ... moreNo: POLYMIDE MONOPOLAR ESC 200MM. Serial numbers or country of manufacture may vary.
$
502.22
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: 105080001. Inventory # CONJ-2570.
$
499.00
Buy It Now
$18.10 Shipping
Condition: Used
Location: Estero, United States
KEEP IN MIND ALL OUR PRODUCTS HAVE NON REMOVABLE TAMPER PROOF HOLOGRAMS AND WE KEEP SERIAL NUMBERS AND DIGITAL PHOTOS OF THE PRODUCT ON FILE!
$
402.22
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: 0020-79162.
$
509.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Novellus Systems 15-00409-00 Chamber Window is new surplus. The physical condition is great. Serial numbers or country of manufacture may vary. Inventory ... more# CONJ-1826.
$
5995.00
Buy It Now
$53.96 Shipping
Condition: Used
Location: San Jose, United States
Applied Materials 0010-09750 RF Match, Assy. AMAT Spares is unable to test item to verify the condition. AMAT Spares. We are also interested in your unwanted ... moreor excess Applied Materials inventory.
$
1508.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a RECIF Technologies IDLW8 200mm Optical Character ID Reader Wafer Sorter. This RECIF Technologies Optical Sensor Assembly 200mm Wafer Sorter ... moreis used working surplus. The physical condition is good, but there are signs of previous use and handling.
$
125.00
Buy It Now
$12.50 Shipping
Condition: New
Location: San Jose, United States
Model: 1404. Fitting 1/2" NPT.
$
2005.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is great. For use with a Rudolph Technologies F30 300mm Wafer Mounter & Defect Inspection System. CUP, UPPER. Inventory # A-19634.
$
503.60
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0010-13140 200mm Wafer 15-Slot Tray Assembly is used working surplus. The physical condition is good, but there are signs ... moreof previous use and handling. ASSY, 15-SLOT TRAY, 200MM. 450SPG.
$
1200.00
Buy It Now
$64.81 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Condition: New.The photos were from the real parts. Not tested. We sell it at AS Is , where is, no return. No warranty. Please double check the photos ... morebefore you buy this item. Appreciate your time.Photos are for all and the price is for all. Thanks!!!Pls contact us if you have any questions regarding to ElectroGlas EG 1034 EG 2001 EG 2010 EG 3001 EG 4080 EG 4085 EG 4090 EG 4090u+ wafer prober. Appreciate your time.
$
906.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great. Part No: 0270-40094. Inventory # CONF-2252.
$
5320.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: South Korea
$
550.00
Buy It Now
$15.00 Shipping
Condition: Used
Location: San Jose, United States
$
550.00
Buy It Now
$27.67 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Condition: New. The photos were from the real parts. Not tested. We sell it at AS Is , where is, no return. No warranty. Please double check the photos ... morebefore you buy this item. Appreciate your time.Photos are for all and the price is for all. Thanks!!!Pls contact us if you have any questions regarding to ElectroGlas EG 1034 EG 2001 EG 2010 EG 3001 EG 4080 EG 4085 EG 4090 EG 4090u+ wafer prober. Appreciate your time.
$
2009.23
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Albuquerque, United States
The physical condition is good, but there may be signs of previous use and handling. Model No: Manufacturer Refurbished. Part No: 715-000043-001.
$
1508.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Nikon NWL 860 TNB-SP 200mm Wafer Inspection Loader NWL860. This Nikon NWL860 200mm Wafer Back Side Center Macro Inspection Arm Assembly ... moreis used working surplus. The physical condition is good, but there are signs of previous use and handling.
$
1000.00
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Temple, United States
Item Condition: FOR PARTS.
$
250.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Model Number: DTC-531-115-BX. Item Condition: Used. Serial numbers or country of manufacture may vary.
3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21
 In 

Former Listings  
 
Davis & Wilder Thermal Evaporator SST Bell Jar Vacuum System
Davis& Wilder. D&W Thermal Evaporator SST Bell-jar System, System Description: Davis and Wilder(D&W) Resistive(thermal) Evaporation System. This will be a fully operational system. With the following features and support equipment. System is exact system represented in images. Frame- 48” W X 34” D footprint. 25” O ring seal. Stainless steel bell jar with two viewports. CTI 8 Cryopump. Temp Monitor and Compressor. Pneumatic SST gate valve. SS pneumatic roughing. Regen and associated manifolding. 5KVA SCR control panel with manual/ Maxtek switch and digital current readout. HD 600V 3 boat tap switch. HSD valve sequencer/auto cryo regeneration. Granville Phillips 340 Ion/convectron Ga. Maxtek MDC 360 film thickness controller with remote control. Maxtek dual crystal head. Sites for three typical configuration thermal evaporation ... moreboats with source shutter. Single static water cooled 8" diameter substrate stage. Stage has tilt and offset adjustments up to 24" from sources. Quartz Halogen substrate heater with digital temperature controller. Leybold D30 mechanical pump. Remanufactured Like New Fully Operational, Inspections Welcome, BUYER IS RESPON SIBLE FOR ANY FREIGHT OR CRATING COSTS. LOADING DOCK& FORKLIFT TRUCK FREE@ PICKUP HAYWARD. CA. OPTIONS. SUPPORT EQUIPMENT, DELIVERY, SETUP, STARTUP& SPARES AVAILABLE Thank You
Cassette Indexer w/tray, 5" Wafer loader ferro drive AMAT 9000 9200 9500 implant
Make an offer on all or just the part you need. Complete cassette indexer assembly. With one tray for 5" wafer cassette. The 25 slot comb is nice and flat and in good shape. Most wires, connectors and slotted opto sensors seem to be in good shape. The roller bearings are a little worn but these are normally consumable. Overall, a quite functional unit. This was removed from a working Applied Materials PI9200 Implanter that has been decommissioned and removed from the fab. Will expedite shipment if necessary. Will combine on shipping. Be sure to let us know what you need. You only pay the actual cost of shipping. Sales in Texas must include 8.25% sales tax or provide a copy of a resale certificate. We can use your FedEx. UPS or other account to ship if you provide an account number. We prefer shipping overseas using FedEx International ... moreEconomy. Please contact us for shipping rates overseas. E0683
Strausbaugh Ring Lapper / Polisher Model #6BK-DC Ring Lapper
Strausbaugh Ring Lapper/ Polisher Model#6BK-DC with a Slurry Pump Option. This unit has been Tested and is Fully Operational. Buyer is responsible for all shipping charges and arrangements including packaging and/or crating fees. If you have any questions. Please contact us at(925)447-9136. Click here to browse my ebay store.
YES Yield Engineering Systems Downstream Microwave Plasma Asher CV-108
YES Yield Engineering Systems Downstream Microwave Plasma Asher Model YES-CV108. Buyer is responsible for all shipping charges and arrangements including packaging and/or crating fees. If you have any questions. Please call: 925)447-9136. Click here to browse my ebay store.
L94572 PlasmaQuest Reactive Ion Etching System RIE Astex MKS
L94572 PlasmaQuest Reactive Ion Etching System RIE Astex MKS(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# L94572 You are looking at a PlasmaQuest/Astex/MKS Resctive Ion Etching System RIE Plasma Etcher. It appears to be in good cosmetic condition showing signs of previous use. Please refer to the pictures for more detail. It has a PlasmaQuest AX2110 1000W Microwave Power Generator. 4 MKS Mass Flow Controllers 2 of 1479A52CR1BM and 2 of 1479A13CR1BM, an MKS power supply Type 260 PS-3, an MKS Vapor Source 115A, Astex Head and Plasma Source, and a VAT Gate Valve. The MKS flow controllers were running Nitrogen, Freon, Argon, chlorine, and Hydrogen gases. This also comes with two monitors and a keyboard, ... morethere is no computer included with this machine. Unfortunately I do not have any way of actually testing out this item and it is being sold untested as is. Please note that this does not come with any other parts, cables, or accessories. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below. Shipping. Buyer pays a fixed handling fee of $50.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) Buyer has the choice of arranging for pickup. Or requesting a shipping quote after the auction ends. Winning bidders of freight items should complete the checkout process and will be billed for shipping after we have received quotations from our carriers. The unpacked weight of this item is 980.00 Pounds Freight Shipments: Freight rate quotes are valid for 10 calendar days from the date quoted. If a cleared payment has not been received in this timeframe. The rate will expire. We reserve the right to requote the freight charges in the e
Zygo ZMI-1000 Measurement Board 8020-0206-01
Item up for sale is: Zygo ZMI-1000 Measurement Board Part No. 8020-0206-01 Item is used and is untested other than what is indicated below: If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. 2 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. Payment options: PayPal only or contact us for payment methods to pay on pickup. Warranty for US shipments: 14 days testing period unless the auction specified as final sale. Buyer must return the product back to the company in a timely manner. Refund upon receiving and inspecting of product and for the cost of the product only. Restocking fee ... moremay apply for the new product shipped. Warranty for International shipments: All international orders are final. No exception. Shipping: Buyer is responsible for all shipping cost including returns. Please note for domestic shipments(within US) we ship Fedex ground only. But you can use UPS ground shipping rates(calculated automatically by ebay) to estimate the shipping charges. Actual Fedex ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FEDEX does not ship to PO Boxes- please provide us with a regular address prior to completing the transaction. International Shipments: International shipments are shipped by USPS global express mail if such option is available. We process international shipments twice a week. Please note that Buyer is responsible for all custom duties/taxes/fees where applicable. Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding/buying. We do not mark merchandi
Lot of 4 ** OHBA Siki Wafer Friction Gauge 0- 300 Range /Made in Japan/ Orig Box
Item up for sale is a: Lot of 4 OHBA Siki Wafer Friction Gauge 0- 300 Range Made in Japan These items are NOT tested unless otherwise noted. If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 4 item as shown in picture. Picture represents the actual item. ~3.5 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. Payment options: PayPal only or contact us for payment methods to pay on pickup. Warranty for US shipments: 14 days testing period unless the auction specified as final sale. Buyer must return the product back to the company in a timely manner. Refund upon receiving and inspecting of product and for the cost of the product only. Restocking ... morefee may apply for the new product shipped. Warranty for International shipments: All international orders are final. No exception. Shipping: Buyer is responsible for all shipping cost including returns. Please note for domestic shipments(within US) we ship Fedex ground only. But you can use UPS ground shipping rates(calculated automatically by ebay) to estimate the shipping charges. Actual Fedex ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FEDEX does not ship to PO Boxes- please provide us with a regular address prior to completing the transaction. International Shipments: International shipments are shipped by USPS global express mail if such option is available. We process international shipments twice a week. Please note that Buyer is responsible for all custom duties/taxes/fees where applicable. Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding/buying. We do not mark merch
OHBA Siki Wafer Friction Gauge 0 - 1000 Range / Made in Japan
Item up for sale is a: OHBA Siki Wafer Friction Gauge 0- 1000 Range Made in Japan This item is NOT tested unless otherwise noted. If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. ~2 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. Payment options: PayPal only or contact us for payment methods to pay on pickup. Warranty for US shipments: 14 days testing period unless the auction specified as final sale. Buyer must return the product back to the company in a timely manner. Refund upon receiving and inspecting of product and for the cost of the product only. Restocking fee may apply ... morefor the new product shipped. Warranty for International shipments: All international orders are final. No exception. Shipping: Buyer is responsible for all shipping cost including returns. Please note for domestic shipments(within US) we ship Fedex ground only. But you can use UPS ground shipping rates(calculated automatically by ebay) to estimate the shipping charges. Actual Fedex ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FEDEX does not ship to PO Boxes- please provide us with a regular address prior to completing the transaction. International Shipments: International shipments are shipped by USPS global express mail if such option is available. We process international shipments twice a week. Please note that Buyer is responsible for all custom duties/taxes/fees where applicable. Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding/buying. We do not mark merchandise values
DUREX, CAST ALUMINUM PLATEN HEATER ~ NEW
Part Number: DA80203-02 Description: You are bidding on this new. Durex Cast Aluminum Heater Part#DA80203-02. cast in platen heaters for wafer processing applications. These casts in bake and chill platens utilize a high-density mineral insulated heating element. Formed to provide a specific heat profile and cast-in 99.7% pure aluminum. Cooling tubes of various materials can be run systematically with the heat pattern for uniform chill requirements. Temperature uniformity across the surface of the cast in platens can achieve ±1% of the maximum operating temperature. Zero porosity of the castings offers increased operating temperatures to 450°C(850°F) Helium Leak test specifications of 1 x 108 cc/sec. are performed to guarantee integrity of the complete assembly. Precision machining of the cast in aluminum platens using CAD/CAM/CAE ... moreand CNC manufacturing techniques maintains a flatness tolerance of.0005" and insures exact location of vacuum grooves. Channels and hole patterns. The cast in aluminum platen surfaces can be supplied with a hardcoat anodized finish and shipped chem-cleaned and packaged to cleanroom specifications. Variations of these platen heater designs are typically found in PVD. CVD, etch, strip, photolithography and probe test equipment. Compass Bay Communications ships worldwide(US and International) We will ship within three business days after payment is received. All shipments with sales totaling in excess of $1000.00 will be insured for 70¢ on the dollar by us. Buyer pays all shipping charges. For international shipping. Customer also pays duties and taxes. This item will require shipment via a freight carrier due to either weight or dimensions. Please contact us for a shipping quote prior to bid or purchase. You are welcome to make your own shipping arrangements but there will be a $50.00 fee to cover the labor and materials required to properly package the item for shipment. We can not ship to a PO Box. We do however welcome pickups. For a shipping estimate. Send us
AMAT IO Distribution Board 0100-00162
Applied Materials IO Distribution Board P/N 0100-00162. UPC ZY0DAI4. This board is slightly used, no apparant damage on either side. Ready to be installed on machine. This is sold AS-IS No Warranties. Buyer may inspect parts.
PROTEUS INDUSTRIES 0150C110 WATER FLOW INTERLOCK SWITCH 120V 1NC-1NO 10mA 1/4"
BT# 15026 M05C4-085.1Y You are looking for PROTEUS INDUSTRIES 0150C110 WATER FLOW INTERLOCK SWITCH 120V 1NC-1NO 10mA USED AND TESTED ITEM! 10 DAYS WARRANTY! Manufactured by: PROTEUS INDUSTRIES. Additional info: ELECTRONIC DRAIN VALVE MODEL: 0150C110 1 NC- 1 NO Power: 120V 10mA Ports Size: 1/4" NPT Visit our eBay store: Check our Store Highlights In addition: Hablamos ESPAÑOL und DEUTSCH Domestic handling time(turnaround) 1 business days We ship with: Powered by Powered by.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf%60%60%3E-13fbc47fc62-0xfc-
FOUP MEMC
This is a new FOUP that was opened only to check the alignment of a new tool installation. Free shipping to US(lower 48) addresses ONLY
Western Servo Design 10026-100 RVB PCB Board Card Plug-In LDH-A1-3/2.5-WJA
Search our eBay Store! Western Servo Design 10026-100 RVB PCB Board Card Plug-In LDH-A1-3/2.5-WJA SKU: JV-MAT-C-LDHA1RVBCARD Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Western Servo Design 10026-100 RVB PCB Board Card Plug-In LDH-A1-3/2.5-WJA Model: LDH-A1-3/2.5-WJA P/N: 10026-100 RVB Physical Condition: Good. Minor scuffs/scratches and signs of use. Functionality/Degree of Testing: We do not have the necessary resources to properly test this unit. Dimensions(L" x W" x H" 4*5.25*1.25 THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET MANAGEMENT. INC. MILPITAS. CA ... more95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us be
PRI Automation PRE-300-CE Prealigner + cables (P54DH)
Buyer pays shipping cost. AS-IS.Cleaned, working condition. CABLES INCLUDED.
G101918-P54 Electroglas Thin Wafer Indexer PCB Assembly 284294-001
G101918-P54 Electroglas Thin Wafer Indexer PCB Assembly 284294-001(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# G101918 This Electroglas Thin Wafer Indexer PCB Assembly. 284294-001 Rev.A, appears to be in good cosmetic condition, although there are a few small dings, scratches, signs of previous use. Please look at the photos. I have no way to power-up or fully test this item so I am obligated to list it as“Not Working” Item comes exactly as shown in the photos above. No other accessories are included. If you do not see it in the photos, it is not included. I do not have the equipment or training to formally test this item so it is being sold as is. The unpacked dimensions of this item are approximately 15“L inches x 7“W inches x 4“H inches. Please ask questions or indicate concerns ... moreprior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below Shipping. Buyer pays a fixed shipping and handling fee of $16.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) All international shipments(including Hawaii and Alaska residents) will be quoted based on winning bidders shipping address. The unpacked weight of this item is 2.00 Pounds Orders will ship 1-2 business days(excludes weekends and holidays) after receipt of confirmed/verified Paypal payments. We do not process orders nor ship on weekends or holidays. Auction Terms. Upon end of auction. The winning bidder should proceed to"CheckOut" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as advertised. As is and without warranty. Questions should be asked prior to bidding as all sales are final PAYMENT TERMS ARE PREPAID ONLY. Payments. ALL AUCTIONS ARE PREPAID ONLY. We accept Paypal payments that are US and Canada Verifi
Loomis Industries / Tempress MKT 35 Scriber - Breaker
Loomis Industries/ Tempress MKT 35 Wafer Scriber/ Breaker Serial Number: 3841 Vintage: September 4. 1981 3" chuck for 2" 3" substrates Missing Lens* Selling as a"Parts or not working system. However. We did plug in the system; lights came on and the stage moves. Normal 0 false false false EN-US X-NONE X-NONE MicrosoftInternetExplorer4 SALES TERMS AND CONDITIONS: Only items pictured are included. If a part is not pictured. Or mentioned in the description, then it is not included in the sale. For multiple quantities. The pictured serial number is not necessarily the one that will be sent. PAYMENT TERMS AND CONDITIONS: Payment is due within 5 days after purchase or end of auction. Contact us for payment methods to pay on pickup. Sales Tax applies to all Ship-To addresses within California. Rate is based on the current tax ... morerate at time of shipment. Resellers must provide a Resale Tax ID for our records. We cannot process the order until we receive sales tax or a copy of your Resale Tax ID. Freight Items: Please wait for an invoice with added crating/shipping charges before paying. SHIPPING: Local Pick-Up is available. A contact name and telephone number are required for shipping purposes. Please state if delivery address is residential or business. International orders are subject to DUTIES/TAXES or other import fees. These fees are the buyer’s sole responsibility. We reserve the right to refuse shipment to certain countries. FREIGHT SHIPMENTS: Buyer has the choice of arranging for pick-up or requesting a quote for shipping. Freight quotes are valid for 10 calendar days from the date quoted. We reserve the right to requote the freight charges after 10 days, Equipment will be prepared for safe shipment by crating. Skidding or palletizing. These costs, along with any reasonable handling fees, are the responsibility of the buyer and will be added to the invoice. RETURN POLICY: Items listed as“For Parts or Not Working” are ineligible for return and refund and are not covered under any warranty. Expres
Sycon Inst Deposition Rate Controller STC-200 w/ MRX-100 Memory / Relay Expander
Pulled from working system. Good condition. Includes manual and memory card as shown. MRX-100 info: MRX-100 Memory Card/ Relay Expander The MRX-100 is a peripheral device used with the STC-200. It has two main functions. The first is to expand the I/O capacity of the STC-200 by providing an additional 8 relay outputs and 8 logical inputs. The second function is to save the programs in the STC-200 onto a memory card that can be removed from theMRX-100.The MRX-100 is housed in a 1-3/4" high enclosure that is the same outline as the STC-200. When the two units are bolted together. The overall package is 5-1/4"high and fits in a half rack space. The MRX-100 also provides external, parameter storage capability to the STC-200 via a PCMCIA memory card. The removable memory card makes it possible to store and recall all of the parameters ... morein the STC-200. The memory card is about the size of a credit card and can be read by an IBM-PC computer with the addition of a memory card reader. This allows easy switching between different process recipes that the STC-200 may use.
Brooks TAZMO MTR-EC1-E HS3375272 Transfer Unit Warking!!!
Brooks TAZMO MTR-EC1-E HS3375272 Transfer Unit Warking!
Brooks TAZMO MTR-EC1-E HS3378284 Transfer Unit Warking!!!
Brooks TAZMO MTR-EC1-E HS3378284 Transfer Unit Warking!
Brooks TAZMO AA6-0166 AC100V Power Supply for Brooks Robot Warking!!!
Brooks TAZMO AA6-0166 AC100V Power Supply for Brooks Robot Warking!
Brooks TAZMO HS33 HS3378284 Transfer Unit
Brooks TAZMO HS33 HS3378284 Transfer Unit
Varian 3140 Sputter tool
Has X3 4" Sputter Gus with Planetary. Call with any questions 210 452 1758. Located Texas. 1?RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2B%3E%3B1%3F-13fc0d02241-0x104-
Axcelis Eaton wafer implant 0 degree disk for 8inch 200mm wafers 1175990 1175490
This is a refurbished disk part number 1175990 which is the factory number for an original disk part number 1175490 that has been refurbished to original spec. This is for a zero degree angle implant. Will ship in original packing container. Is cleanroom packed and opened to photo. Shipping is at actual charges. No handling, packaging or processing charges are added into the price. Multi shipping services are available. 560.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2B%3E560-13fc0d0223d-0x105-
200mm 4 stack Thermco Duffusion Furnace semiconductor
Thermco 5200 Duffusion Furnace 4 stack Includes Load Station. Furnace, Gas cabinet. Some parts missing but could be put back in operation. Furnace will need to be crated and shipped by buyer costs.
Wafer Robot Prealigner Asyst EG-300B-009 300mm Aligner BROOKS AMAT Controller
This auction is for used and untested Asyst EG-300B-009 300mm Wafer Aligner. Model: EG-300B-009 Mfg. Date: 09/2006 The unit has minor dents and scratches but over roll in good shape. Unable to verify functionality. Sell as is no return.
Rotor A194-60MB-0215 for Verteq Spin Rinser Dryer SRD ,4-Bolt Offset , H-BAR-OUT
Rotor for Verteq Spin/Rinser/Dryer Description 1" Diameter Single Inset hole to center in Verteq Spin/Rinser/Dryer. A194-60MB-0215 cassette fits inside loaded up to 25 round silicon wafers 6-Inch in Diameter. Standard 4-Bolt mounting to flat back plate with single 15-Degree offset on 1-Bolt. P/N 1070367-4. S/N 0284 H-BAR-OUT 0-25 Silicon Wafers. All Stainless Steel construction. Condition Excellent condition with some microscratches. No dings. No Stains. Specifications Dimensions: Approx. 260mm Diameter Backing Plate. Weight: Approx. 10Lbs. Items ship within 5-Days of payment not including weekends. See additional checkout instructions for Shipping& Handling fees.
APPLIED MATERIALS P/N 0010-26352 REV.002
APPLIED MATERIALS P/N 0010-26352 REV.002 Normal 0 false false false EN-US X-NONE X-NONE MicrosoftInternetExplorer4 WE APPRECIATE YOU AS A CUSTOMER. AND WE THANK YOU FOR SHOPPING WITH US. WE LOOK FORWARD TO PROVIDING YOU WITH OUTSTANDING SERVICE. YOU MAY ALSO CALL US AT 408-778-2001 MONDAY– FRIDAY 8AM-5PM PST CONDITION· Most of our items listed are posted and sold either NEW. AS-IS or USED. If sold“NEW OR USED” you have 14 days from the date of arrival to test the item or items& notify us if it is not working. Failing to do so within the time frame will void any return policy that was offered. NO Warranty unless otherwise specified in description. There are no refunds or exchanges or returns for items that are sold AS IS. It is your responsibility to verify the software or the capability of an item you purchase prior to purchasing ... moreit. To confirm it works with what you have. No refund will be given if item is working but not compatible with your equipment. In most cases this can be negotiated, but it must be done prior to your purchase. Please. Understand that we do not have the equipment, facilities, or time to fully test every item. If we say the item works, then it was tested to the best of our ability. All. Items and contents are described to the best of our ability. We examine all items and supply all available Make/Model information. We do not have time to get detailed information beyond what is stated in the listing but will try to answer all questions in a timely manner. Items sometimes show the serial number. Due to the fact that sometimes we have multiple items for sale you might not get the one in the photo, the item will be in as good or better condition than the photo shown. POLICY· If you feel we have misrepresented an item. Please contact me either by email or telephone or through ebay and give me the opportunity to work it out with you. With most items you have 14 days from the date you receive the item or items to test& notify us if it is not working. However. Some items are sold
RIGAKU MAGNETIC FLUID SEAL P/N 10C-92010609
RIGAKU MAGNETIC FLUID SEAL P/N 10C-92010609 Normal 0 false false false EN-US X-NONE X-NONE MicrosoftInternetExplorer4 WE APPRECIATE YOU AS A CUSTOMER. AND WE THANK YOU FOR SHOPPING WITH US. WE LOOK FORWARD TO PROVIDING YOU WITH OUTSTANDING SERVICE. YOU MAY ALSO CALL US AT 408-778-2001 MONDAY– FRIDAY 8AM-5PM PST CONDITION· Most of our items listed are posted and sold either NEW. AS-IS or USED. If sold“NEW OR USED” you have 14 days from the date of arrival to test the item or items& notify us if it is not working. Failing to do so within the time frame will void any return policy that was offered. NO Warranty unless otherwise specified in description. There are no refunds or exchanges or returns for items that are sold AS IS. It is your responsibility to verify the software or the capability of an item you purchase prior to purchasing ... moreit. To confirm it works with what you have. No refund will be given if item is working but not compatible with your equipment. In most cases this can be negotiated, but it must be done prior to your purchase. Please. Understand that we do not have the equipment, facilities, or time to fully test every item. If we say the item works, then it was tested to the best of our ability. All. Items and contents are described to the best of our ability. We examine all items and supply all available Make/Model information. We do not have time to get detailed information beyond what is stated in the listing but will try to answer all questions in a timely manner. Items sometimes show the serial number. Due to the fact that sometimes we have multiple items for sale you might not get the one in the photo, the item will be in as good or better condition than the photo shown. POLICY· If you feel we have misrepresented an item. Please contact me either by email or telephone or through ebay and give me the opportunity to work it out with you. With most items you have 14 days from the date you receive the item or items to test& notify us if it is not working. However. Some items are so
SCREEN DSX-L48/D
SCREEN DSX-L48/D ===============================================================================================================. Payment: We accept PayPal or Bank transfer or WU Payment must be made within 5 days after the end of auction. Unpaid dispute will be filed to eBay for any non payable bidders. Shipping: We only ship to your confirmed Paypal address. Please make sure your Paypal shipping address is correct before purchase. Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding/buying. All items shipped with careful packaging to protect and ensure saftey of contents during shipment. Most orders will be shipped out within 1-2 business ... moredays upon payment confirmation. Shipping time: 7-18 days United States.United Kingdom, Australia, Canada, Singapore, New Zealand, Japan, South-Korea. 10-30 days France.Germany,Switzerland,Sweden,Denmark,Belgium,Ireland Terms of Sale: All our items come with one 14 days warranty. Please feel free to contact us if you are not satisfied with the purchase. Contact Us: Negative feedback can not solve anything. If you have any problems. We are very glad to help you. Please allow us to reply your email within 24 hours. Please contact us through ebay message(welcome) or Email. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf52%3E-13fc42b499c-0x100-
Astex / Gerling 1.25KW, 2450 Mhz Microwave P.S., Model C12137-2-U, Gasonics 3010
ASTEX GERLING MODEL C12137-2-U MICROWAVE POWER SUPPLY S/N 96207-239-U 1.25 KW@ 2450 +20-30 MHZ Removed from Gasonics 3010 plasma asher. UNTESTED. 14 DAY RIGHT OF RETURN Pickup only. FOB our dock in Temple. Texas. We'll skid for no charge. Logan Technologies. LP 254-773-4070
Switching Systems Int. (SSI) SQV100-1433 DC Power Supply
Switching Systems International(SSI) VDC power supply. Removed Gasonics L-3010. Model SQV100-1433. Input 115 V/ 240 V. 50/60 Hz. 3 amps. It appears in good shape. But it hasn't been checked out) right of return. Supply will ship Fedx ground. So please included your phone# Quoted shipping price is for lower 48 states US customers only. Logan Technologies. 254-773-4070
Gasonics Plasma Asher 3010 Floppy Drive, P/N 16321-01D
Gasonics Floppy Drive assembly"L-3510 or A-3010" P/N 16321-01D TEAC# 19307764-29 Untested. Will ship Fedx ground. So please included your phone# Quoted shipping price is for US customers only. We'll ship worldwide. But shipping cost will be determined at the time of purchase. Logan Technologies. LP 254-773-4070 ag6 2.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28c%3Eag6%3E2-13fc42b4a81-0xf6-
Brooks Automation Robot Control Module 002-9401-01
Brooks Automation Robot Control Module 002-9401-01 TESTED WORKING CONDITION Information Condittion used a+++++++++. This unit is fully tested prior to shipping Shipment We will ship your order within 2-3 business days via post airmail. It will usually take 10-14 business days after shipment. Return Policy We carefully inspect the item before shipment. In case you found a defect on the purchase. We will exchange or refund it Contact Us We are willing to respond to your inquiry If you have a question or problem.Please contact us first. It is the fastest way to settle your concern or issue.
Electroglas Power DAR Assy 244426-001 Rev H
Lot 20 This is for a total of 1 boards Power DAR Electroglas Power DAR Assy 244426-001 Rev H Sold as is. Posted with
Applied Materials 0100-77034 Head Pneumatic Controller (Mark1) with Bracket.
Applied Materials 0100-77034 Head Pneumatic Controller(Mark1) with Mounting bracket 020-79068. As in photos. Looks very clean. Check out my! M1A1G.
ELECTROGLAS Model DPS-2. 8" Gold Chuck And Motor.
Lot 26 This is the 26 in several electroglas parts and equipment auctions- buy it now sales. Recently acquired and will be listing in the next few weeks with over 100 lots. Priced to sell. Weight: 20 Box: 18x18x18 Shipping: USA Item: ELECTROGLAS Model DPS-2. 8" Gold Chuck And Motor. All non tested and sold as is Ships from San Francisco via ups or USPS Posted with
SPI SUPPLIES Plasma Prep Plasma Etcher Plasma Asher Plasma Cleaner
THIS IS A RARE ITEM ON EBAY. CERTAINLY WON'T LAST LONG! SPI Plasma Prep a compact. bench-top" sized plasma etcher, which can also serve as a plasma asher or even a plasma cleaner, uses dry plasma chemistry to reveal hidden detail for SEM and TEM analysis. Used but in great working condition. The shell of the power switch button is missing and that is the only issue we know. GUARANTEED WORKING OR YOUR MONEY BACK!
AMAT 00090-00323 Seriplex Assy w/0190-35762 I/O PCB
AMAT 00090-00323 Seriplex Assy w/0190-35762 I/O PCB. Used. Removed from service in full working condition. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*a4%601gc%3E-13fc859d4d3-0xfd-
Brooks / Tazmo Aligner MA-BEP 8" HS3375268
Brooks/ Tazmo Aligner MA-BEP 8" Model: HS3375268
FINE SUNTRONIX VSF50-24 POWER SUPPLY 85-132VAC 1.2A 50/60HZ 24V 2.1A
BT# 15095 M10C2-090.1Y You are looking for: FINE SUNTRONIX VSF50-24 POWER SUPPLY 85-132VAC 1.2A 50/60HZ 24V 2.1A. USED AND TESTED ITEM! 10 DAYS WARRANTY! Manufactured by: FINE SUNTRONIX. Additional info: POWER SUPPLY. Model: VSF50-24 INPUT: 85-132VAC ~ 1.2 AMP 50/60Hz OUTPUT: 24V- 2.1 AMP Visit our eBay store: Check our Store Highlights In addition: Hablamos ESPAÑOL und DEUTSCH Domestic handling time(turnaround) 1 business days We ship with: Powered by
Cosel AC/DC Switching Power Supply PAA50F-5 PAA50F5
Cosel AC/DC Switching Power Supply Model No: PAA50F-5 AC100-240V 0.8A 50-60Hz Condition: NEW. In box NEW. No box NEW. Old inventory X USED. But in good working condition. USED. But in good working condition. Removed from closed plant. USED. SOLD AS IS DETAILS: SOUTHBEND INDUSTRIAL. INC. 803-222-0460- 7am-4pm est Please call for expedited orders! Auction Sales Policy: Your bid is a contract- Place a bid only if you're serious about buying the item. If you are the winning bidder. You will enter into a legally binding contract to purchase the item. If the seller is unable to establish contact with the winning bidder within 3 days of the end of the auction, item may be offered to the next highest bidder or relisted. Payment is due within 7 days of purchase unless otherwise discussed between buyer and seller. Shipping Details: LOCAL PICKUPS ... moreARE FREE AND ALWAYS WELCOME! WE ARE HAPPY TO COMBINE SHIPPING ON MULTIPLE ITEMS. PLEASE CONTACT US AT 803.222.0460 FOR COMBINED SHIPPING PRICES. COMMON CARRIER SHIPMENTS: Items going common carrier are generally shipped the next business day after payment is made. We generally ship via FedEx or USPS. FREIGHT SHIPMENTS Large items that require an LTL shipment generally go out the next business day after payment is received. Some exceptions may apply. Larger items that must be shipped via flat bed truck will ship as soon as the truck can be secured. Usually no more than 3-5 business days. It is very important that the seller provide us with accurate shipping info on freight shipments. We must know if we will be shipping to a business, the business name, address, contact info, and if receiver has a way to unload the pallet/item or if we need to arrange for a lift gate. INTERNATIONAL SHIPPING: WE SHIP OUTSIDE OF THE U.S. IF THE BUYER HAS A UPS. DHL, OR FEDEX ACCOUNT TO WHICH WE CAN BILL CHARGES. Payment Details: We gladly accept PayPal. Certified Funds, or Cash for local pickups. We do NOT accept personal or business checks. Sign up for PayPal; the fast. Easy, and secure way to pay
Lam Lo-FAT Sled RF Tuner, Parts 853-025903-001-3-3653, ELMPN 03 262C PN Match E3
Lam Lo-FAT Sled RF Tuner 853-025903-001-3-3653 Missing one rail but looks very clean. Both sleds move and spin the motors. Sold As-Is. Sorry. No Returns on this Item. Will expedite shipment if necessary. Will combine on shipping. Be sure to let us know what you need. You only pay the actual cost of shipping. Sales in Texas must include 8.25% sales tax or provide a copy of a resale certificate. We can use your FedEx. UPS or other account to ship if you provide an account number. We prefer shipping overseas using FedEx International Economy. Please contact us for shipping rates overseas.
MKS PRESSURE TRANSDUCER MODEL 127A 10000 TORR- 127A-13022
MKS PRESSURE TRANSDUCER MODEL 127A 10000 TORR- 127A-13022 Description: Thank you for your interest! We are auctioning off this MKS PRESSURE TRANSDUCER MODEL 127A 10000 TORR- 127A-13022. Acquired from government surplus. As you know with most government agencies. When a new grant comes in, they have to justify the grant by buying new equipment leaving very useful equipment for surplus. COMES AS SHOWN! Some cosmetic wear. USA CUSTOMERS- NO MEANS TO TEST. However will offer a 14 day guarantee to try it out fully with right of return. INTERNATIONAL CUSTOMERS- NO MEANS TO TEST. And due to the cost to ship internationally and the distance items have to travel, ALL items shipped outside of the USA are SOLD AS IS WITH NO GUARANTEES. We love our international customers and would love to have your business but due to the nature of the used equipment, ... moreand that all items are untested and used, all sales are final. No refunds or returns, please bid accordingly! 1. All equipment is sold-as-is. We are surplus equipment dealers and do not have the ability or knowledge to test equipment for functionality beyond plugging it in and describing what we observe as best we can. 2. All statements regarding products and their configurations are made to the best of our ability and with the assumption that the buyer is knowledgeable and proficient in the use of this type of equipment. 3.We have no knowledge of the history of the equipment. Due to the nature of used and surplus equipment no guarantees or warranties either expressed or implied are offered unless specifically noted in the description. 4. NO REFUNDS OR RETURNS will be allowed. No exceptions unless offered in the description. 5. No manuals.NO STANDARD POWER CORDS, accessories, software etc are included unless pictured and noted in the description. 6. It is the bidder's responsibility to understand that the terms of the auction. The nature of equipment offered and bid accordingly. Shipping: BUYER TO PAY SHIPPING IN THE US. Alaska& Hawaii will be more! INTERNATIONAL BIDDE
Croyden Series 960-2 Rinse Controller 24 Volts
Croyden Series 960-2 Rinse Controller 24 Volts Description Croyden Series 960-2 Rinse Controller Runs at 24Volts Manufacturer Croyden Manufacturer Part Number Series 960-2 Item/Ship Weight 8 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300. Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping We will professionally ... morepackage. Using instapak foam-in-place if needed, and insure this product for safe delivery. Domestic shipping rates are available using the shipping calculator below, subject to terms& conditions. Please contact us for international shipping rates. PID 20247 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package is too large to qualify. As such. We may need to contact you before shipping to make an adjustment to a different method which may change the price for shipping as well. This bug has been reported, but they gave no timeline for a fix, so if you are purchasing an item on the larger side(boxed size approx. 20x20x10 or more) and plan on choosing a USPS shipping
Electroglas Test Motor .00035 Flat 9010515-1
Electroglas test motor As is See photo for identical item that is being shipped Posted with
Genoa Systems 8500 Windows VGA 24 with 60 day warranty
Genoa Systems 8500 Windows VGA 24 Item comes from a clean room tool and is in good. Working condition with 60 day warranty. Buyer responsible for shipping costs both ways. Please visit my store. We have similar items and will be happy to combine items to reduce shipping costs. I may also have multiple quantities so please write me if you need more of a particular item or have any other questions. Thanks for looking and have a nice day!
Data Translations 05214 DT2827 REV. AA P/N 05206 REV F with 60 day warranty
Data Translations 05214 DT2827 REV. AA P/N 05206 REV F Item comes from a clean room tool and is in good. Working condition with 60 day warranty. Buyer responsible for shipping costs both ways. Please visit my store. We have similar items and will be happy to combine items to reduce shipping costs. I may also have multiple quantities so please write me if you need more of a particular item or have any other questions. Thanks for looking and have a nice day!
Switching Systems Int. (SSI) SQM-200-1333-7 15 VDC Supply
Switching Systems International(SSI) 15 VDC power supply. Removed from Lam/DryTek ASIQ. Model SQM-200-1333-7. SSI part# 20-0028-152 rev. 1. Input 115 V/ 240 V, 50/60 Hz. 5 amps. It appears in good shape. But it hasn't been checked out) right of return. Supply will ship Fedx ground. So please included your phone# Quoted shipping price is for lower 48 states US customers only. Logan Technologies. 254-773-4070
Brooks Automation Magnatran Wafer Transfer Robot P/N: 201600-22
This is a Brooks Automation Magnatran P/N: 201600-22 wafer transfer robot. Please contact us with further questions or additional quantities. This robot appears to be in very good physical condition. This unit spins freely and is guaranteed in working order. PLEASE READ THE ENTIRE LISTING BEFORE BIDDING OR YOUR PURCHASE MAY BE CANCELLED. ALL INTERNATIONAL BIDDERS MUST REQUEST A SHIPPING QUOTE BEFORE BIDDING. DUE TO THE NUMBER OF SCAMMERS WE HAVE ENCOUNTERED OUTSIDE OF THE UNITED STATES. WE WILL ONLY SHIP USING USPS EXPRESS MAIL INTERNATIONAL WHICH IS THE ONLY METHOD THAT OFFERS DELIVERY CONFORMATION ON ALL ORDERS OVER 100.00$(this method may be more expensive so please be sure to request a quote before bidding on an item) FL
Abbess 18"x18"x18" laboratory vacuum chamber
18" Cube Standard model vacuum chamber from Abbess. Purchased new 6 years ago. Was barely used several times to assist with removing air bubble voids from curing epoxy. Includes shelving system with four stainless steel shelves. This is a very rugged benchtop chamber made from 1/2" aluminum alloy plates with all seams welded tight. The door is made of 2" thick acrylic with hinges on the right side and draw latches on the left side. The replaceable O-ring seal is in perfect condition and holds-22inHg vacuum with about 1-2inHg drop in 24 hours. You can find more info on Abbess' web site. The shelves have some drops of cured epoxy on them- see photo above for an example. We'll do our best to scrape most of them off before shipment. Also included is a 115V single-phase Emerson Model C55NXHGJ-4035 vacuum pump. This pump ... morewill draw down to-22inHG at our facility(at 8.000ft elevation above sea level) We paid $6.500 for this chamber when we purchased it from Abbess, plus another $350 for the pump. Our work has changed to where we haven't needed to use this chamber in over 4 years. So it's time to clear the space in our lab for different equipment.
ION SYSTEMS 5084E POWER CONTROLLER NILSTAT PULSED DC ANALOG IONIZER EMITTER
YOU ARE BUYING ONE ION SYSTEMS MODEL 5084E POWER CONTROLLER NILSTAT PULSED DC ANALOG IONIZER EMITTE. THIS IS USED SHELF SURPLUS REMOVED FROM A SHUTDOWN INTEL FACILITY. IF YOU HAVE ANY QUESTIONS PLEASE CALL 480-200-0156 OR HIT ASK THE SELLER A QUESTION. G1GR3 X 4)JK) THE FOLLOWING IS FOR INFORMATION PURPOSES ONLY AND MAY NOT BE INCLUDED IN THIS AUCTION: International Buyers Please Note: Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying. We do not mark merchandise values below value or mark items as"gifts" US and International government regulations prohibit such behavior. Check out my! Be sure to add me to your!
Gasonic L3510 ASHER
Excellent condition. ASTEX Microwave generator. Ran very light production mode. was fully opertational when removed from Fab 7-13. Down Stream Asher to prevent ION damage. Documention will be provided. Located Texas.
MKS Baratron Pressure Transducer 631A11TBEH 10Torr 0-10VDC Capacitance Manometer
Search our eBay Store! MKS Baratron Pressure Transducer 631A11TBEH 10Torr 0-10VDC Capacitance Manometer SKU: JV-MAT-A-BARA631A11T Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge MKS Baratron Pressure Transducer 631A11TBEH 10Torr 0-10VDC Capacitance Manometer Model: 631A11TBEH Physical Condition: Good. Minor scuffs/scratches and signs of use. Functionality/Degree of Testing: We do not have the necessary resources to properly test this unit. Power cable is not included. Dimensions(L" x W" x H" 3.75*3.75*9.25 THE FDA DISCLAIMER BELOW IS ONLY FOR MEDICAL DEVICES. SVC ASSET MANAGEMENT. ... moreINC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, pl
Cerac Indium-Tin Oxide Sputtering Target L281
Brand New Never Used Cerac Indium-Tin Oxide Sputtering Target. 93.3 In2O3– 6.5 SnO2( W+) Diameter: 5.027” Thickness: 0.258” 99.97% pure. L281) Directive for Customer Service Questions: During business days. We will make every effort to respond to your emails as soon as possible. If you have any questions about this item or other items in our list, please contact us prior to bidding. Over the weekend or holidays, the questions will be answered in the first opportunity afterward. Sold As-Is. Where-Is: Most of the items listed were used in one form or another in our laboratory for different research and development projects. And are now sold due to the termination of these projects. At this stage we are not able to completely test and calibrate the items, therefore, all items are sold as-is. Where-is, without warranty or guarantee. Unless specified ... morein the listing. All sales are final. Please ask all pertinent questions regarding the condition of the item before you make your purchase. As your selection may prohibit other customers from bidding. The operation of the items is the customer’s sole responsibility, and he/she must have the professional skills to safely operate them. We reserve the right to refuse a return based on customer not fully understanding the item description and the proper way of operation or changing his/her mind. DOA or Incorrect Product: All items described to be in operational condition but received Dead on Arrival(DOA) can be returned for replacement or refund(when no replacement is available) Only if we are notified within 7 days of receipt of product. Compensation will be provided upon actual return receipt, physical examination, testing, and serial verification of the item and all sent accessories. If any one of these criteria is not met, we reserve the right to withhold funds for an indefinite term until all requirements are met. If you feel that you have received an incorrect item, please retain the item and all packaging materials and notify us ASAP. A Returned Merchandis
Varian Associates 951-5212 Cold ( Nitrogen ) Trap / Valve Combination Used
Varian Associates 951-5212 Cold( Nitrogen) Trap/ Valve Combination CONDITION: Used. Untested(Soorry But We Just Don't Have The Equipment To Test It! As-Is ~ 79 lbs. Total* What you see is what you get! Pictures are taken at the time of listing. If it's not in the photos. It's not included in the lot, unless otherwise stated directly in the ad. Sorry! You can't have the tape measure. We're still using it. Available for local pick up at our office in Hayward. California plus UPS Ground(5-7 days) Insured, to the lower 48 States only. Orders to Hawaii& Alaska will be filled only if item can be shipped by US Postal Flat Rate Box. Puerto Rico orders will ship by USPS Parcel Post and cannot be tracked after they have left the United States. If you need it shipped elsewhere. Please contact us for a shipping quote. Depending ... moreon your location, your shipping may be less, in which case we will refund the difference. Returns are accepted within 14 days. Re-stocking fees may apply. Please see our full return policy for details. We leave feedback once or twice a month. Leave us a good feedback and we'll leave you good feedback in return. If you have questions or concerns. Please message us, or call Liz or Beth at 510-784-1111. We're here Monday-Friday. 8 am- 4:30 pm PST, and at other times by chance! ~ Thank You For Shopping ~! 18B-SM-EFOD 7426W
Shin-etsu MW300G 12inch Wafer Case
Manual operation One piece. Shingle mold design Simple. Reliable design High Integrity seal and Vent Filer Easy to Assemble Conforms to SEMI Standard M31 Holds 25 Wafers Dimensions: W404 x L360 x H331(mm) Weight: 4.7kg(10.4lb) Body:Polycarbonate Front:Retainer Thermoplastic Elastomer Door:Polycarbonate GasketPolycarbonate+Thermoplastic Elastomer Power Clamp:Polycarbonate
Lam Research Lower Electrode Base Assembly, 312-020-004
Item up for sale is a: Lam Research Lower Electrode Base Assembly Part No. 312-020-004 Item is used and is untested other than what is indicated below: Unit is untested. Pulled from a working environment- If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. 14 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. ============================================================================ Terms of Sale Payment Options PayPal only or contact us for payment methods to pay on pickup. Bank transfers or payments using a Cashier’s Check may be available. Contact us for additional information and ... morefees. RETURNS Warranty for Items shipped in the US 14-Day Right to Return- Buyer has 14 days to test item to determine its operational condition unless it was listed as Parts-Only or As-Is. Should the item be received non-operational you may return the item for refund of the purchase price. 14-day period starts the day you receive the item. You cannot return an item because it is not compatible with the system you installed the item into. Please insure that the item you are purchasing is compatible with the application or in the system you intend to use it. Warranty for Items shipped outside the United States All international sales shipped to a destination outside the US are non-refundable. All international sales are final. SHIPPING Shipping within the United States Buyer is responsible for all shipping costs including returns. Please note for domestic shipments(within US) we ship FedEx ground only. But you can use UPS ground shipping rates(calculated automatically by eBay) to estimate the shipping charges. Actual FedEx ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FedE
MKS 253B-21757 Vacuum Throttle Valve, KF-40, WORKING
MKS 253B-21757 Throttle Valve. KF-40 S/N 000592916 It opens and closes. 14 Day right of return. Last used on a Gasonics Photo Resist Asher. Quoted shipping price is for lower 48 states US customers only. Ships FedX Ground. Please provide a phone# Call Logan Technologies LP. 254-773-4070
UNIT INSTRUMENTS MASS FLOW CONTROLLER MODEL: UFC-1200 35 bar max.
SELLING A MASS FLOW CONTROLLER BY UNIT INSTRMENTS. MODEL: UFC-1200. RANGE:20 SCCM. GAS:AR. 35 BAR MAX. SELLING AS IS AND IT IS A USED ITEM. THE ITEM DOES HAVE COSMETIC DAMAGE SUCH AS SCRATCHES AND DENTS. BUT IT IS STILL A WORKING ITEM. We are an Injection Molding company that has stopped production. If you are local in Carol Stream, IL you are more than welcome to pick up the item. We are selling many more parts if interested for more information on this product or the other items we have please contact me through ebay. Thank you
Electroglas Hot chuck controller II271160-001 rev 3
Lot 44 This is for a total of 1 board in a buy it now sale Electroglas Hot chuck controller II 271160-001 rev 3 Sold as is. Posted with
Electroglas Power DAR 2 Assy 251074-002 For A Total of 4 Boards
Lot 32 This is for a total of 4 boards Electroglas Power DAR 2 Assy: 251074-002 See photo for exact items to be shipped Sold as is. Posted with
AKT Applied Materials CVD Communication Interface 0100-71278 Rev 01
AKT Applied Materials CVD Communication Interface 0100-71278 Rev 01 Came from a company liquidation- removed from a working production line. Worldwide Shipping with tracking
AMAT AKT Applied Materials Digital I/O Board 0100-76124 Rev.B
AKT Applied Materials Digital I/O Board 0100-76124 Rev.B Came from a company liquidation- removed from a working production line. Qty. available: 4 Price is per 1 board Worldwide Shipping with tracking
ELECTROGLAS 4080 8" Gold Chuck And Motor. Z Scale No.25
Lot 44 This is the 44th in several electroglas parts and equipment auctions- buy it now sales. Recently acquired and will be listing in the next few weeks with over 100 lots. Priced to sell. Weight: 18 Box: 18x18x18 Shipping: USA Item: ELECTROGLAS 4080 8" Gold Chuck And Motor. Z Scale No.25 All non tested and sold as is Ships from San Francisco via ups or USPS Posted with
Sloan Dektak calibration standard 1Ka , 10 ka and 50 ka set
Sloan Dektak calibration standard 1 ka. 10 ka and 50 ka set Posted with 1.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28b0g%60%60%3E1-13fd36d7978-0xf6-
Karl Suss MJB21 3" Wafer Mask Aligner w/2 505 Optical Energy Controllers+Cables
Karl Suss MJB21 3" Wafer Mask Aligner Type 10100008 with(2) Model 505 Optical Energy Controllers plus Cables*Powers Up! ~14 Day Right of Return~ FREE SHIPPING! Because of the Size& Weight of this item. Please NO INTERNATIONAL BIDDERS. S/N 517 S/N 370194 S/N 38045X Please note: This item is in GOOD cosmetic condition with some scratches from normal wear. See pics. This Unit powers up and was pulled from a working enviroment however it is out of our area of expertise thus we do not know how to properly test it. You are welcome to send questions about the item and if you are a local buyer you are welcome to come and inspect it prior to buying/bidding. Please call us for hours of operation. 626-472-7500. Unit carries a 14 Day Right of Return. Table is for picture purposes only and is not included with this item. Please Note: The total ... moreweight of this item including packaging is: 350 lbs. We will palletize this item for shipping. We will This item carries a 14 Day Right of Return provided that our warranty seals are intact and there is no evidence of user misuse; in order to allow the buyer time to confirm the unit is in working condition. And ONLY IF the item listed above is not as pictured or described in the listing. Items are as pictured below. If you are unsure about the completeness or condition of an item. Please contact us before placing your bid! Please read all of our terms of auction below before placing a bid. Terms of Auction: Payment arrangements are to be made within 3 days of purchase of item. You will receive an email from eBay with payment instructions and a place to tell us how you would like to complete your purchase. A courtesy Payment Reminder will be sent on the 5th day of non payment. Item will be placed back upon eBay if payment is not received within 8 days of the closing of the item. Only items pictured above are included in the listing. There are no manuals or power cords included unless otherwise noted in the picture or description. All items are sold AS-IS. Unless otherwise stated
ELECTROGLAS TRLY XFR Arm Interface 251955-001 Unit And Board
Lot 47 This is the 47 in several electroglas parts and equipment auctions- buy it now sales. Recently acquired and will be listing in the next few weeks with over 100 lots. Priced to sell. Weight: 24 Box: 18x18x24 Item: ELECTROGLAS TRLY Transfer Arm 251955-001 rev a All non tested and sold as is Ships from San Francisco via ups or USPS Posted with
Applied Materials 0010-76430 Cass Index Motor Assy, Vexta A3723-9215
Applied Materials: 0010-76430 Cass Index Motor Assy. Vexta A3723-9215 5-Phase Stepping Motor with mounting brackets and timing pulley. Very clean. Check out my! EBRC1C
KLA Tencor SpectrCD Spectra CD Family Vision System Camera 0058646-004
KLA Tencor SpectrCD Spectra CD Family Vision System Camera 0058646-004 Normal 0 false false false EN-US X-NONE X-NONE MicrosoftInternetExplorer4 WE APPRECIATE YOU AS A CUSTOMER. AND WE THANK YOU FOR SHOPPING WITH US. WE LOOK FORWARD TO PROVIDING YOU WITH OUTSTANDING SERVICE. YOU MAY ALSO CALL US AT 408-778-2001 MONDAY– FRIDAY 8AM-5PM PST CONDITION· Most of our items listed are posted and sold either NEW. AS-IS or USED. If sold“NEW OR USED” you have 14 days from the date of arrival to test the item or items& notify us if it is not working. Failing to do so within the time frame will void any return policy that was offered. NO Warranty unless otherwise specified in description. There are no refunds or exchanges or returns for items that are sold AS IS. It is your responsibility to verify the software or the capability of an item you ... morepurchase prior to purchasing it. To confirm it works with what you have. No refund will be given if item is working but not compatible with your equipment. In most cases this can be negotiated, but it must be done prior to your purchase. Please. Understand that we do not have the equipment, facilities, or time to fully test every item. If we say the item works, then it was tested to the best of our ability. All. Items and contents are described to the best of our ability. We examine all items and supply all available Make/Model information. We do not have time to get detailed information beyond what is stated in the listing but will try to answer all questions in a timely manner. Items sometimes show the serial number. Due to the fact that sometimes we have multiple items for sale you might not get the one in the photo, the item will be in as good or better condition than the photo shown. POLICY· If you feel we have misrepresented an item. Please contact me either by email or telephone or through ebay and give me the opportunity to work it out with you. With most items you have 14 days from the date you receive the item or items to test& notify us if it is not working.
Semitool Spin Rinse Dryer (SRD) Model 270S w/ PSC-101 Controller
Item up for sale is a: Semitool Spin Rinse Dryer(SRD) Model 270S w/ PSC-101 Controller Item is used and is untested other than what is indicated below: If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. 150 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. ============================================================================ Terms of Sale Payment Options PayPal only or contact us for payment methods to pay on pickup. Bank transfers or payments using a Cashier’s Check may be available. Contact us for additional information and fees. RETURNS Warranty for Items shipped in the US ... more14-Day Right to Return- Buyer has 14 days to test item to determine its operational condition unless it was listed as Parts-Only or As-Is. Should the item be received non-operational you may return the item for refund of the purchase price. 14-day period starts the day you receive the item. You cannot return an item because it is not compatible with the system you installed the item into. Please insure that the item you are purchasing is compatible with the application or in the system you intend to use it. Warranty for Items shipped outside the United States All international sales shipped to a destination outside the US are non-refundable. All international sales are final. SHIPPING Shipping within the United States Buyer is responsible for all shipping costs including returns. Please note for domestic shipments(within US) we ship FedEx ground only. But you can use UPS ground shipping rates(calculated automatically by eBay) to estimate the shipping charges. Actual FedEx ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FedEx does not ship to PO Boxes- please provide us with a
Micromanipulator 6000 with 2/2 110/210 Positioners, Leica GZ7 Microscope
Micromanipulator 6000 with 2/2 110/210 Positioners. Leica GZ7 Microscope This item has been used previously before de-installing. It has been inspected, cleanned maintained to manufacturer specifications. Please contact us for current status. And configurations. This item is sold as is/where is. We are price-flexible. Contact/visit us at your most convenience. QUALITY EQUIPMENT SOURCE LLC is a surplus company with approximately 50.000sq ft of warehousing, where you will find almost any type of Semiconductor Equipment or other. Back-end, front-end, metrology and facility equipment we especialize and take pride in delivering to you the best in the market.
NEC Corporation GLS5410B Gas Laser Power Unit Used Working
This NEC Corporation GLS5410B is used working surplus. Includes 2 pronged output cable(pictured) The physical condition is good. But there are some minor scratches from previous use and handling. Part No: GLS5410B AC Input: 100V. 50/60 Hz Fuse: 250V. 0.6ATL Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 14"x14"x14" 10 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day ... moreSatisfaction Guarantee(See Details Below) Lister 13 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possibl
SVG 99-80295-01 POWER SUPPLY SAFETY RESET BOARD PCB PC REV F
YOU ARE BUYING ON ONE SVG 99-80295-01 POWER SUPPLY SAFETY RESET BOARD PCB PC REV F. THIS WAS REMOVED FROM A WORKING TOOL AND IS GUARANTEED NOT D.O.A. IF YOU HAVE ANY QUESTIONS PLEASE CALL 480-200-0156. OR HIT ASK THE SELLER A QUESTION. G1GC) International Buyers Please Note: Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying. We do not mark merchandise values below value or mark items as"gifts" US and International government regulations prohibit such behavior. Check out my other items! Be sure to add me to your favorites list!
FOR PARTS Leica INM20 Wafer Inspection Trinocular Microscope w/ Wafer QC Station
The item up for sale is a: FOR PARTS Leica INM20 Wafer Inspection Trinocular Microscope with Automated Wafer QC Station Type: 302315 79.37mm automated wafer vacuum mount Wafer handling robot Dual cassette stations Macro station with Keyence LX-132T laser wafer flat aligner and inspection light Leica INM20 inspection microscope with CCD Camera- Item Sold AS-IS. No Warranty, No Returns- This item is non-operational. Or its operational condition cannot be determined. INM20 does not power on- Automated Wafer QC Station. Possibly INS1000i- If you have any question regarding this unit please feel free to contact me and I will do my best to answer your questions Included is only what is mentioned: 1 unit as shown in picture. Picture represents the actual item. No other cables. Parts, power cords, power adapters, software or any other accessories ... moreincluded. NOTE: The sale of this item is final; we will not accept a return under any circumstances. Please examine the item closely. If you need additional information. Photos or would like for us to perform some basic tests to determine the condition please feel free to contact us prior to your purchase. Unit will be shipped via freight Shipping: Buyer is responsible for all shipping cost including returns. Please note for domestic shipments(within US) we ship Fedex ground only. But you can use UPS ground shipping rates(calculated automatically by ebay) to estimate the shipping charges. Actual Fedex ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FEDEX does not ship to PO Boxes- please provide us with a regular address prior to completing the transaction. International Shipments: International shipments are shipped by USPS global express mail if such option is available. We process international shipments twice a week. Please note that Buyer is responsible for all custom duties/taxes/fees where applicable. Import duties. Taxes and charges are not included in the item
ASTECH ATL-100RA Automatic Matching Network
ASTECH Automatic Matching Network Model ATL-100RA S/N 208. 1994 vintage Untested. 14 day right of return. It will ship Fedx ground. So please provide a phone# Shipping price quoted is for lower 48 states US Customers only. We'll ship Worldwide. But it's FOB our dock and buyer must pay all shipping cost. Call Logan Technologies. LP 254-773-4070
Lam Research Plasma Etching, Bias Electrode, 853-038269-002
Item up for sale is a: Lam Research Plasma Etching Bias Electrode Part NO. 853-038269-002 This item is NOT tested unless otherwise noted. If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. 150 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. Payment options: PayPal only or contact us for payment methods to pay on pickup. Warranty for US shipments: 14 days testing period unless the auction specified as final sale. Buyer must return the product back to the company in a timely manner. Refund upon receiving and inspecting of product and for the cost of the product only. Restocking fee ... moremay apply for the new product shipped. Warranty for International shipments: All international orders are final. No exception. Shipping: Buyer is responsible for all shipping cost including returns. Please note for domestic shipments(within US) we ship Fedex ground only. But you can use UPS ground shipping rates(calculated automatically by ebay) to estimate the shipping charges. Actual Fedex ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FEDEX does not ship to PO Boxes- please provide us with a regular address prior to completing the transaction. International Shipments: International shipments are shipped by USPS global express mail if such option is available. We process international shipments twice a week. Please note that Buyer is responsible for all custom duties/taxes/fees where applicable. Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding/buying. We do not mark merchandi
MDR MODEL CD-36 WAFER DICING SCRIBER/BREAKER
MOTION DYNAMICS RESEARCH MODEL CD-36 WAFER SCRIBER/BREAKER. UNIT POWERS UP. SAW BLADE SPINS. SOLD AS IS. UNIT WEIGHS 125 LBS. SHIPPED ON PALLET VIA MOTOR FREIGHT. CONTACT ME FOR SHIPPING PRICE. WILL ONLY SHIP INTERNATIONAL WITH BUYERS FED-EX ACCOUNT
Electroglas Ceramic Lot Variety Of Porous Ceramic Chuck Items See Photos
Lot 51 This is the 51 in several electroglas parts and equipment auctions- buy it now sales. Recently acquired and will be listing in the next few weeks with over 100 lots. Priced to sell. Weight: 19 Box: 18x18x18 Shipping: USA Item: ELECTROGLAS 5 chuck ceramic plates Several prisms(some damaged or chipped) But including all for your spare parts. See photos for EXACT items that will be shipped. All non tested and sold as is Ships from San Francisco via ups or USPS Posted with
Allen Bradley AC Contactor Model 100- NX65 Series D
Allen Bradley AC Contactor Model 100- NX65 Series D ITEM DESCRIPTION: Used. Good condition. This unit was pulled from a custom built piece of equipment that came out of a government agency. The equipment was hardly used if used at all. Guaranteed not DOA. ACCESSORIES: None. No manual. Cables, or any other accessories. My Policies: TAX: New Mexico and Florida residents pay 7% Gross Receipts Tax SHIPPING& HANDLING SHIPPING TERMS: The buyer is to pay all shipping charges. Most items will be listed with the ebay shipping calculator so that you can calculate your shipping cost prior to bidding. PLEASE NOTE: You will be charged the USPS. FEDEX or UPS retail shipping rate as given by the ebay shipping calculator. These are the actual rates as computed by the calculator based on the weight and dimensions of the package and the distance it is ... moreto be shipped. INTERNATIONAL SHIPMENT CUSTOMS DECLARATION: International customs fees and customs brokerage fees(if applicable) will be charged to the buyer. The customs declaration form will be filled out truthfully with the actual bid amount given as the value of the package. I will not mark items as gifts or claim a value less than what has been paid for the item. You may be interested in on eBay. Powered by
Alcatel Comptech Vari-Q Throttling Valve VQ-6-ASA-U-SM
I'm selling Alcatel Comptech VARI-Q Throttling valve VQ-6-ASA-U-SM used but don't know how test. Working or not Sold As Is Posted with
Vacuum Cluster Tool Transport Module with Inspection Station
This listing is for a cluster tool vacuum robot transfer chamber. With no robot. The chamber is an aluminum polygon, with 7 facets, two of which are blank, and the other 5 are machined with openings, o-ring grooves, and bolt patterns to accept slot valves or be blanked off. It is mounted on a welded steel frame, and has a hinged top plate with a positive locking pin and gas piston assist. It is sized for substrates up to 200-mm(8" in diameter. All sealing surfaces appear to be in good condition. Two of the machined openings are blanked off and sealed. But could be used to mount process modules or loadlock chambers. One facet has been fitted with an un-valved(no slot valve isolating it from the main transfer chamber) station, and has staging to allow wafers of different sizes to be placed. This un-valved station has a clear top plate ... moreto allow visual inspection of the wafer placed there. The chamber has a central mounting hole in its base to accept a 2- or 3- axis vacuum robot for wafer transfer. In all. It will accept 5 additional modules, or 4 if the inspection station is left in place. The chamber has a large VAT gate valve mounted on its base. Controlling an opening with an 8" inner diameter, and mounting flange for a pump directly below that. If you need any more photos. Or have questions, please post them. We are listing this item with a"Best Offer" option, and will review all offers- no offers below the"Buy It Now" price will trigger an automatic sale. We will arrange shipment by freight carrier to the continental U.S. Canada, or Mexico. Please contact us for an estimate BEFORE buying.
Applied Materials Analog Ouput Board
This is working Analog Output Board that works. It came off a tool we are parting out. Amat Part# 0100-11001. Free shipping anywhere in the USA Posted with
J MICRO TECHNOLGY KRN-18A MICROWAVE POSITIONER
THIS IS A J MICRO TECHNOLGY KRN-18A MICROWAVE POSITIONER IT IS IN GOOD AESTHETICS CONDITION. BUYER PAYS FOR SHIPPING. I ACCEPT CHECKS FROM USA BUYERS, I ACCEPT PAYPAL FROM OVERSEAS BUYERS, PAYMENT IS DUE WITHIN FIVE DAYS.
1 Electroglas Power DAR 4 Assy 265875-001 Rev D Board
Lot 59 This is for a total of 1 board Electroglas Power DAR 4 Assy: 266875-001 rev D Sold as is. Posted with
Sussman rectangular vacuum pressing table with Sussman Aquamatic II steam iron.
A Sussman 426 HAB rectangular pressing table with double swing arms. One is a contoured utility press buck(29"long) and the other is a 28" long sleeve buck. The 25"x50" pressing table is heated and both press table and both bucks have up blowing air to press on a cushion of air to eliminate marks on fabrics to give that total professional finish. Has great suction with a powerful 220v 3/4 horse power 3 phase 2740/3290 RPM motor that will reach maximum suction instantly. Also included is a Sussman Aquamatic II steam iron. The table has adjustable height. This Sussman 426 HAB vacuum table lists for $5,000.00 and theAquamatic II steam iron lists for $500.00. To confirm, check the Reliable products on ALLBRANDS.com. Reliable bought out the Sussman Co. and they carry the exact models of pressing equipment that was once produced ... moreby the what used to be German Company Sussman. The Reliable 426 HAB vacuum table still costs to this day $4,995.00 and that is without an Iron! Once you have used a pro vacuum table like this one, you will wonder how you got along without it. It makes pressing garments faster and easier. PS the only reason that I am selling this one is because I have 4 vacuum tables of which two of them are 426 HAB models, one of which is in the last photo that has a blue cover. 2p5ddv.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B26rk%3E2p5ddv-13feb918854-0xf3-
Beam Profiler Assy +/- 15deg 0010-90278 90554 90977 AMAT 9000 9200 9500 implant
Beam profiler assembly +/ 15 degrees. Good used condition missing Faraday cups and some other graphite. May be equivalent to Applied Materials part numbers 0010-90554. 0010-90977, 9010-00504, or 0010-90033. This was removed from a working Applied Materials PI9200 Implanter that was decommissioned and removed from the fab. Will expedite shipment if necessary. Will combine on shipping. Be sure to let us know what you need. You only pay the actual cost of shipping. Sales in Texas must include 8.25% sales tax or provide a copy of a resale certificate. We can use your FedEx. UPS or other account to ship if you provide an account number. We prefer shipping overseas using FedEx International Economy. Please contact us for shipping rates overseas. E0682
Linear Transducer Scan Arm, 900 mm stroke, Tempsonics LDT AMAT 9000 9200 implant
Complete scan arm linear transducer made by Tempsonics. LDT Position Sensing Systems. Model numbers 012090090 3201000 and 012090090109. Stroke 900 mm. Output 0 to 10 V. Will expedite shipment if necessary. Will combine on shipping. Be sure to let us know what you need. You only pay the actual cost of shipping. Sales in Texas must include 8.25% sales tax or provide a copy of a resale certificate. We can use your FedEx. UPS or other account to ship if you provide an account number. We prefer shipping overseas using FedEx International Economy. Please contact us for shipping rates overseas. E0680
Focus Power Supply / Inverter pair 0090-90167, 0090-90215 AMAT 9200 9500 implant
Focus Power Supply and Inverter matched set made by Wallis Hivolt. Model numbers 500280 and 500230. Series 2500. Up to 40 kV output, 310 VAC 20 amp 2.5 kW input. Includes one A-Type DAQ. AMAT part numbers 0090-90167 and 0090-90215. May also be known by other part numbers. Chassis location code 7A and 7B. Serial number R103670 and R101039. Supply made in England. This are heavy units. 135 lbs and 66 lbs. Local pickup or contact us for a shipping rate. This part was removed from a working Applied Materials PI9200 Implanter that was decommissioned and removed from the fab. Will expedite shipment if necessary. Will combine on shipping. Be sure to let us know what you need. You only pay the actual cost of shipping. Sales in Texas must include 8.25% sales tax or provide a copy of a resale certificate. We can use your FedEx. UPS or other account ... moreto ship if you provide an account number. We prefer shipping overseas using FedEx International Economy. Please contact us for shipping rates overseas. E0679 E0679B
Pre Accel PS / Inverter pair 0090-90164 0090-90216 20 kV AMAT 9200 9500 implant
Pre A ccel Power Supply and Inverter matched pair made by Advance Hivolt(was Wallis Hivolt) Includes one C-Type DAQ. Series 2500. 20 kV, AMAT part numbers 0090-90164 and 0090-90216. May also be known by other part numbers. Chassis location codes 7E and 7D. Serial number 169484 on both chassis. Most recently serviced in 8-97. Supply made in England These are heavy units weighing 135 lbs and 66 lbs. 201 lbs total. For local pickup or contact us for a shipping rate. What we pay is what you pay. This part was removed from a working Applied Materials PI9200 Implanter that was decommissioned and removed from the fab. Will expedite shipment if necessary. Will combine on shipping. Be sure to let us know what you need. You only pay the actual cost of shipping. Sales in Texas must include 8.25% sales tax or provide a copy of a resale certificate. We ... morecan use your FedEx. UPS or other account to ship if you provide an account number. We prefer shipping overseas using FedEx International Economy. Please contact us for shipping rates overseas. E0678 E0678B g.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bjw%60hquvg%3Eg-13ff0d5b8ee-0xfd-
Applied Materilas AMAT 0021-16782 Middle Shield 300MM
Applied Materilas AMAT 0021-16782 Middle Shield 300MM USED, SOLD AS IS! NO RETURN! NO REFUND! NO WARRANTY! PLEASE. LOOK IN PICTURES, YOU GET EXACTLY WHAT YOU SEE! SHIPPING TODAY!
Applied Materilas AMAT 0200-06099 Ceramic Ring 955 W/CLEANCOAT
Applied Materilas AMAT 0200-06099 Ring 955 W/CLEANCOAT USED, SOLD AS IS! NO RETURN! NO REFUND! NO WARRANTY! PLEASE. LOOK IN PICTURES, YOU GET EXACTLY WHAT YOU SEE! SHIPPING TODAY!
Applied Materilas AMAT 0021-40242 SST Lower Shield
Applied Materilas AMAT 0021-40242 SST Lower Shield USED, SOLD AS IS! NO RETURN! NO REFUND! NO WARRANTY! PLEASE. LOOK IN PICTURES, YOU GET EXACTLY WHAT YOU SEE! SHIPPING TODAY!
Applied Materilas AMAT 0240-42671 REV 001 0040-50505-002 W/SMC 145PSI
Applied Materilas AMAT 0240-42671 REV 001 0040-50505-002 W/SMC 145PSI USED, SOLD AS IS! NO RETURN! NO REFUND! NO WARRANTY! PLEASE. LOOK IN PICTURES, YOU GET EXACTLY WHAT YOU SEE! SHIPPING TODAY!
Applied Materilas AMAT 0021-25180 REV 003 USED TI DISK SHUTTER TWAS
Applied Materilas AMAT 0021-25180 REV 003 USED TI DISK SHUTTER TWAS USED, SOLD AS IS! NO RETURN! NO REFUND! NO WARRANTY! PLEASE. LOOK IN PICTURES, YOU GET EXACTLY WHAT YOU SEE! SHIPPING TODAY!
Applied Materilas AMAT 0021-11486 REV 004 DISK SHUTTER
Applied Materilas AMAT 0021-11486 REV 004 DISK SHUTTER USED, SOLD AS IS! NO RETURN! NO REFUND! NO WARRANTY! PLEASE. LOOK IN PICTURES, YOU GET EXACTLY WHAT YOU SEE! SHIPPING TODAY!
Trion ICP etching equipment+AE power supply+dry pump and rotary van pump
all worked before the equipment was moved out of lab. AE power supply 13.56MHz. 3KW. rotary van pump is new.
Nova NovaScan 420 210-48000-00 100-230 Volt 50/60 Hz / Wafer Processing
Item up for sale is a: Nova NovaScan 420 Part NO. 210-48000-00 100-230 Volt 50/60 Hz*Item has very minor marks from prior use. This item is NOT tested unless otherwise noted. If you have any questions regarding this item please feel free to contact me and I will do my best to answer your questions 1 item as shown in picture. Picture represents the actual item. ~33 Pounds in a box with packaging materials Included is only what is mentioned. No other cables. Parts, power cords, power adapters, software or any other accessories included. Payment options: PayPal only or contact us for payment methods to pay on pickup. Warranty for US shipments: 14 days testing period unless the auction specified as final sale. Buyer must return the product back to the company in a timely manner. Refund upon receiving and inspecting of product and for the cost ... moreof the product only. Restocking fee may apply for the new product shipped. Warranty for International shipments: All international orders are final. No exception. Shipping: Buyer is responsible for all shipping cost including returns. Please note for domestic shipments(within US) we ship Fedex ground only. But you can use UPS ground shipping rates(calculated automatically by ebay) to estimate the shipping charges. Actual Fedex ground rates may be cheaper then UPS depending on the destination, so for heavy packages you can always contact us to get the exact shipping rate. FEDEX does not ship to PO Boxes- please provide us with a regular address prior to completing the transaction. International Shipments: International shipments are shipped by USPS global express mail if such option is available. We process international shipments twice a week. Please note that Buyer is responsible for all custom duties/taxes/fees where applicable. Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bid
MATSUSHITA AUTOMATION AROMAT ATM-20-L CONTROL UNIT DISPLAY AMAT 0010-21997 LASER
YOU ARE BUYING ONE MATSUSHITA AUTOMATION AROMAT ATM-20-L CONTROL UNIT DISPLAY NAIS. THIS WAS REMOVED FROM AN APPLIED MATERIALS AMAT 0010-21997 LASER ALIGNMENT TOOL. THIS UNIT IS GUARANTEED NOT D.O.A. IF YOU HAVE ANY QUESTIONS PLEASE CALL 480-200-0156. OR HIT ASK THE SELLER A QUESTION. G1SRACK) Estimated Retail Price: $305.00 International Buyers Please Note: Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying. We do not mark merchandise values below value or mark items as"gifts" US and International government regulations prohibit such behavior. Check out my other items! Be sure to add me to your favorites list!
Intevac Cryo Dynamic Cooling Station Helium Vacuum
Intevac Dynamic Cooling Station Location:C 1604 Description Here is a high performance cooling station for use in a vacuum chamber. This was previously used for cooling hard drive discs in an Intevac sputtering chamber. The discs are chilled using cooled helium gas in the copper plate. The unit is guaranteed in good working shape and is sold with a 14 day right of return. Shipping Feel free to e-mail us at motionconstrained@gmail.com if you have any questions. Shipping quotes are available under the shipping tab. free customisable galleries from
VEEKO (SLOAN) DEKTAK V 300-si PROFILOMETER
VEEKO(SLOAN) DEKTAK V 300-si PROFILOMETER* Surface Profiler* 12 inch Wafers(300mm) Moniter* Surface Texture and film Thickness etc. Sold as is nvd ``d.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3E%60%60d-13ff16af54d-0x100-