Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
This site contains affiliate links for which I may be compensated
$
1000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
No software, power cords, or other accessories are included unless stated above. For all Texas orders, the rate of 8.25% will be applied.
$
35.00
Buy It Now
$22.59 Shipping
Condition: Used
Location: Schenectady, United States
The wafer carrier is in used condition. We have multiple available for sale so you may not receive the one photoed but they are all in similar condition. ... moreIf there are multiple available for sale you may not receive the one photoed, but they are all in similar condition.
$
1035.60
Buy It Now
$6.49 Shipping
Condition: New – Open box
Location: Buda, United States
Brooks/Equipe/PRI (For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. Your Source for Spares & Repairs. WE BUY SURPLUS ... moreSEMICONDUCTOR PARTS. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. ).
$
332.12
Buy It Now
$13.92 Shipping
Condition: Used
Location: Buda, United States
KLA-TENCOR 8100, 8200, 8300 CD SEM. (See Hours of Operation, above).
$
11544.22
Buy It Now
$700.42 Shipping
Condition: Used
Location: Singapore
Part Number: GENCOBOT 4/2L. Item ID: 16208. If there are more than (1) quantity, do feel free to reach out to us for an actual image of each unit. South ... moreKorea�. We also do not price match against sites with on-going flash sales.
$
7512.03
Buy It Now
$6.30 Shipping
Condition: Used
Location: Buda, United States
REQUIRED BY US LAW. (See Hours of Operation, above). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 ... more电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
1009.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Wafer Lift Motor Assembly. Part No: 0010-09180.
$
48.29
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Entegris SB300 25 Cap. Wafer Carrier Front Opening Full Pitch w/Robotic Flange. (1) Entegris SB300 25 Cap. Model: SB300. Manufacturer: Entegris. Wafer ... moreSize Compatibility: 300 mm. Includes Robotic Flange And Carrying Handles.
$
5000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
The Microscope has Nikon YS-CF 10x/18 eyepieces. The objectives are Mitutoyo, One M Plan NIR5 0.14 ∞/0 F=200, One M Plan NIR20 0.40 ∞/0 f=200, and One ... moreM Plan NIR50 0.42 ∞/0 f=200. Is there a Dock or Forklift available?.
$
4021.50
Buy It Now
$412.02 Shipping
Condition: Used
Location: Singapore
Part Number: BPP8. Item ID: 10747. the order will be automatically relisted. We will review and approve your price match request on a case by case basis. ... moreWe also do not price match against sites with on-going flash sales.
$
897.68
Buy It Now
$9.05 Shipping
Condition: Used
Location: Boise, United States
Your Source for Spares & Repairs. REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. WE BUY SURPLUS SEMICONDUCTOR PARTS. ... more(See Hours of Operation, above). Nicole Jackson at getsparesllc.
$
100.00
Buy It Now
$36.00 Shipping
Condition: For parts or not working
Location: Gilroy, United States
However, I can't test this piece so it is being sold as-is. "The sale of this item may be subject to regulation by the U.S. Food and Drug Administration ... moreand state and local regulatory agencies.
$
30.00
Buy It Now
$18.23 Shipping
Condition: Used
Location: Schenectady, United States
Wafer carrier is in used condition. It is not tested. We have multiple available for sale so you may not receive the one photoed but they are all in similar ... morecondition. You will only receive what is actually shown in the photos.
$
1503.24
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: 20002050. This Komatsu 20002050 Wafer Cooling Plate is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling.
$
6500.56
Buy It Now
Condition: Used
Location: Buda, United States
REQUIRED BY US LAW. We do repairs. Brooks/Equipe/PRI (For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. Two-zero-eight-nine-eight-two-one-eight-six-two ... moreDirect Line. ).
$
225.00
Buy It Now
$22.85 Shipping
Condition: New – Open box
Location: Austin, United States
These products are subject to export restrictions under U.S. law. .
$
3508.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi LP12-II is used working surplus. The physical condition is good, but there are signs of previous use and handling. Rorze 2P Micro Step Drive ... morePart No: RD-023MS. Oriental Motor Part No: DFR1507.
$
1100.00
Buy It Now
$10.51 Shipping
Condition: New – Open box
Location: Boise, United States
Nicole Jackson. (See Hours of Operation, above). KLA-TENCOR 8100, 8200, 8300 CD SEM.
$
3600.00
Buy It Now
$71.43 Shipping
Condition: New – Open box
Location: Boise, United States
THERMA-WAVE Wafer Robot KENSINGTON LABS MODEL 95-322-04 Very nice condition. Sold as is. Final sale. Check our other auctions for more interesting and ... moreesoteric items. We have been in business for 20 years. Our expert staff is available to answer any questions you may have. If you like our product offerings. Please add us to your favorites list! RESOURCES UN-LTD. ELECTRONICS, OPTICS. MOTION CONTROL Check out my other items!
$
320.00
Buy It Now
$33.74 Shipping
Condition: Used
Location: San Jose, United States
Questions? Call us: 1-877-328-9236. Rorze RR303 ATM Wafer Transfer Handler Robot RR303L200. Manufacturer: Rorze Model: RR303(RR303L200) Condition: Used ... morePrice: The photos show the exact item(s) the buyer will receive. Manufacturer: Rorze Condition: Used. All items are in good cosmetic condition with a few scratches on the body on one side(see photos) Notes: This item has not been tested. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Rorze RR303L200 automated transfer machine/ semiconductor wafer handling robot. 1) Rorze stepper motor drive, 1) SMC pneumatic valve manifold, Specifications: Robot Manufacturer: Rorze, Series: RR303, Model: RR303L200, Description: Automated transfer machine/ semiconductor wafer handling robot, Stepper Motor Drive Manufacturer: Rorze, Pneumatic Valve Manifold Manufacturer: SMC, SKU: J04D012 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. This item will be shipped on a pallet via LTL Freight. Please fill out to receive a shipping quote. Buyer pays for all shipping costs. This cost includes professional packing& insurance. Handling time is two to three business days after receiving cleared payment. Transit time varies. Please contact us for details. Do
$
450.68
Buy It Now
$22.73 Shipping
Condition: Used
Location: Boise, United States
REQUIRED BY US LAW. Nicole Jackson at getsparesllc. (See Hours of Operation, above). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn ... morezhù. Měiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
3503.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
$
12500.00
Buy It Now
Condition: For parts or not working
Location: Pioneer, United States
$
29.26
Buy It Now
$14.42 Shipping
Condition: Used
Location: Ventura, United States
Click here to see description.
$
72.19
Buy It Now
Free Shipping
Condition: Used
Location: Clinton, United States
Here is a custom made 75mm Nickel plated Aluminum wafer cassette / carrier. The PTFE rods just press into grooves in the side panels and in front and ... morecan be removed. There are two support rods on top and one on bottom and they are fastened to the side panels via screws so whole assy can be taken apart.
$
250.00
Buy It Now
$26.76 Shipping
Condition: Used
Location: Austin, United States
These products are subject to export restrictions under U.S. law. Item Condition: USED, as seen in pictures.
$
7999.00
Buy It Now
Condition: Used
Location: Singapore
Local GST for Singapore Sales: 9%. Cash and Carry for Local Sale only.
$
410.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. ASSY, DYNAMIC CHUCK. Serial numbers or country of manufacture may vary.
$
909.09
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: AL100. Serial numbers or country of manufacture may vary.
$
1502.24
Buy It Now
Condition: Used
Location: Albuquerque, United States
This Fairchild Technologies BUFFER is used untested surplus and is being sold as-is. The unit appears to be complete but may be missing components (see ... morephotos). The physical condition is good and there are visible signs of previous use and handling.
$
1009.10
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Brooks Automation 8600-65BAJ is used working surplus. The physical condition is good, but there are signs of previous use and handling. Serial numbers ... moreor country of manufacture may vary.
$
803.13
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: 200mm Wafer Cassette Loader. The physical condition is good, with light signs of use. These products are subject to export restrictions under ... moreU.S. law. Set of 2 (Left & Right).
$
305.22
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Model No: Wash Cup Disc.
$
1500.00
Buy It Now
$299.00 Shipping
Condition: For parts or not working
Location: Singapore
Local GST for Singapore Sales: 9%. Cash and Carry for Local Sale only.
$
301.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The wafer is not marked with a part number. The physical condition is good, but there are signs of previous use and handling. Serial numbers or country ... moreof manufacture may vary.
$
1500.00
Buy It Now
$19.58 Shipping
Condition: Used
Location: Buda, United States
We do repairs. Two-zero-eight-nine-eight-two- one-eight-six-two Direct Line. KLA-TENCOR 8100, 8200, 8300 CD SEM. Gasonics L3510 Gasonics PEP-3510. Brooks/Equipe/PRI ... more(For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. ).
$
10500.00
Buy It Now
$92.41 Shipping
Condition: Used
Location: Austin, United States
Search our eBay Store! Genmark Gencobot 4/3L Classic Wafer Handler Transfer 3-Link Robot Arm PARTS SKU: JV-NIC-HANFERT Condition: For parts or not working ... morePackaging: OEM Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Genmark Automation Gencobot 4/3L Classic Wafer Handler Transfer 3-Link Robot Arm*PARTS* Type: 4/3L Classic. Physical Condition: Good; Minor scuffs/scratches. Unit has some marks/stains on its plastic display cover(SEE PHOTOS) Power cable is not included. We do not have the necessary resources to further test this unit. Dimensions(L" x W" x H" 14 3/16* 15 ¾* 29 3/8. Extended Arm Length: 30 ¼” BEING SOLD AS-IS FOR PARTS OR REPAIR. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don&
$
500.00
Buy It Now
Condition: Used
Location: Plano, United States
Cat# O123 Loc. WH Bay 1 West.
$
658.21
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Lam Research 490 AutoEtch Plasma Etcher System. The physical condition is great. ARM,HOLDER, WAFER 5 ENT. Part No: 715-007121-001. Serial numbers or country ... moreof manufacture may vary.
$
75.00
Buy It Now
$11.70 Shipping
Condition: New – Open box
Location: Milpitas, United States
Farmon ID: 102191. Whenever necessary. We are also interested in your unwanted or excess inventory.
$
20.00
Buy It Now
$16.70 Shipping
Condition: Used
Location: French Camp, United States
Quartz Photo Mask/filter Plate 5” Silicon Wafer. (C1B2) You will receive exactly what you see in the photos. Opening any factory sealed item or part of ... morean item, Use of a new item such as spray paint, constitutes your unconditional acceptance of the item.
$
215.00
Buy It Now
$16.05 Shipping
Condition: New – Open box
Location: Pineville, United States
Wafer Dicing Wheel, Diamond Blade, 10 Per Box. AFi Systems is a Charlotte, NC-based repair facility. AFi Systems is backed by 100 years of industrial ... moreelectronics experience. Manufacturer Part Number.
$
3750.00
Buy It Now
Condition: Used
Location: Schenectady, United States
Model:Gencobot 7S/3L. Robot arm spins freely about the center axis and articulates as you would expect without issues. Model: 7S/3L Robot. HVAC & Refrigeration. ... moreEverything included is shown in the photos.
$
750.00
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: San Jose, United States
$
199.99
Buy It Now
Free Shipping
Condition: New
Location: Argyle, United States
$
200.00
Buy It Now
$108.77 Shipping
Condition: New – Open box
Location: Gilroy, United States
This lot includes pair of Entegris KA202-8SHH-47C02 Wafer Carrier 200mm w/ High Handle, as shown. Also, one of the has a chipped corner, as shown. 95020 ... more(408) 886-3700.
$
14999.00
Buy It Now
Condition: Used
Location: Singapore
Item ID: 34636. Part Number: VAC 407-2A. South Korea�. We also do not price match against sites with on-going flash sales. �PRICE MATCH POLICY. Kindly ... morereview images thoroughly before purchasing.
$
35.00
Buy It Now
$22.59 Shipping
Condition: Used
Location: Schenectady, United States
The wafer carrier is in used condition. It is not tested. We have multiple available for sale so you may not receive the one photoed but they are all ... morein similar condition. Green Impact. If there are multiple available for sale you may not receive the one photoed, but they are all in similar condition.
$
1900.56
Buy It Now
$14.20 Shipping
Condition: New
Location: Buda, United States
Novellus C-1. REQUIRED BY US LAW. WE BUY SURPLUS SEMICONDUCTOR PARTS. (See Hours of Operation, above). Five-one-two-nine-two-eight-five-five-five-three ... moreCorporate Office. Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù.
$
1000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
This lot includes a pair of Brooks Automation Load Port Wafer Boat Loader Robots (p/n 127000-123), as shown. However, I have no way of powering it up ... moreor testing them, and they are being sold as-is. 95020 (408)886-3700.
$
6200.00
Buy It Now
Condition: Used
Location: Austin, United States
These products are subject to export restrictions under U.S. law. INTERNATIONAL EXPORT POLICY.
$
3500.26
Buy It Now
$50.94 Shipping
Condition: Used
Location: Boise, United States
Five-one-two-nine-two-eight-five-five-five-three Corporate Office. SN: K90-003, B72561. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole ... moreJackson at getsparesllc. (See Hours of Operation, above).
$
225.00
Buy It Now
Free Shipping
Condition: New
Location: San Antonio, United States
The flange size is ISO 80.
$
1012.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Nikon 200mm Wafer Inspection Transport OPTISTATION 3 SST55D3C020 is used working surplus. The physical condition is good, but there are signs of ... moreprevious use and handling. Removed from a Nikon OPTISTATION 3A 200mm Automatic Wafer Inspection System.
$
2600.62
Buy It Now
$39.72 Shipping
Condition: Used
Location: Boise, United States
Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. SN: B75714. Five-one-two-nine-two-eight-five-five-f ive-three Corporate Office. Nicole Jackson ... moreat getsparesllc. (See Hours of Operation, above).
$
5000.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Hollister, United States
The physical condition is good and clean. These products are subject to export restrictions under U.S. law.
$
200.00
Buy It Now
$10.00 Shipping
Condition: Used
Location: Roseville, United States
1 Dual Wafer Loss ASSY. 0100-00993 REV. 02. One board in this sale but we have 36 available.
$
249.99
Buy It Now
$8.00 Shipping
Condition: Used
Location: Lake Forest, United States
We do our best to describe everything.
$
50.00
Buy It Now
$5.35 Shipping
Condition: New
Location: Oxford, United States
I believe I've also seen "NOR" as well on these wafers. More investication is needed. EVERYTHING IS GOLD! This one is a one of a kind in the lot I have. ... moreThis one is interesting, because it has a blob of gold on it.
$
75.00
Buy It Now
$11.70 Shipping
Condition: Used
Location: Corona, United States
THESE ARE USED SILICON WAFERS. SEE PHOTOS FOR COMPLETE DETAILS.
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17
 In