Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
This site contains affiliate links for which I may be compensated
$
449.56
Buy It Now
$7.83 Shipping
Condition: Used
Location: Boise, United States
REQUIRED BY US LAW. We do repairs. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān ... morekùn zhù. Měiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
159.97
Buy It Now
Free Shipping
Condition: Used
Location: Sacramento, United States
2 pcs International Wafer Service 100 mm DSP 485-495 Mic. Silicon-Wafer w/ Case. It is also subject to change during peak periods. We work hard to include ... morea lot of pictures of each item.
$
250.00
Buy It Now
Condition: New – Open box
Location: Buda, United States
REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. (See Hours of Operation, above). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, ... moretā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ yāoqiú.
$
49.50
Buy It Now
$12.45 Shipping
Condition: Used
Location: Haverford, United States
Semiconductor Vacuum Wand. I believe this was made by H-Square. With coiled air hose and ~25mm suction cup tip. Swagelok 1/4" (6mm) Quick Connect stem ... morewith valve. Item appears to be in very good condition.
$
200.00
Buy It Now
$40.00 Shipping
Condition: Used
Location: Phoenix, United States
$
475.00
Buy It Now
$12.87 Shipping
Condition: Used
Location: Goleta, United States
Veeco Bruker Ceramic Wafer Chuck 196 mm Diameter (8189)W Good cosmetic condition with some signs of use. Taken from a working environment Bottom has some ... morescuff marks. Has some sharpie residue. We believe this is Bruker Veeco brand but not certain. See pictures for more detail.
$
5000.00
Buy It Now
$98.53 Shipping
Condition: New
Location: Morgan Hill, United States
The item SOLAR WAFER 156X156MM 125X125MM listed is exactly shown in the pictures above.
$
118.91
Buy It Now
Free Shipping
Condition: Used
Location: San Ramon, United States
I have for sale Entegris UltraPak H9200 200mm 25-Slot Wafer Carrier Cassette. Also included are 19X wafers (already etched).
$
10.00
Buy It Now
$3.95 Shipping
Condition: New – Open box
Location: Tucson, United States
Normal 0 MicrosoftInternetExplorer4 DISCO NBC-ZH WAFER DICING WHEEL/BLADE DISCO NBC-ZH WAFER DICING/WHEEL- This listing is for one unit of DISCO NBC-ZH ... moreWAFER DICING WHEEL/BLADE. Comes with 14 days money back guarantee. Shipping stated is via air parcel worldwide with the winning bidder to receive the actual item as shown. Accepts paypal and wire transfer payments only. Thank you. NOTE: Please note that upon paypal payment. Once the shipment address is provided, it will be best to provide your current phone number as well so we can write it down on the package. Locator: 100920-GDV. Pb305-292(5) CMP123 =================================================================================================================== TERMS AND CONDITIONS ON EACH SALE WARRANTY: All NNB(New-No-Box) and NIB(New-In-Box) comes with 14-days money back guarantee. All used equipment and parts are sold AS-IS. No warranty unless otherwise specified in the description. SHIPPING(AIR PARCEL) Please be advised that air parcel shipment from our location normally takes 15 to 20 working days. If you need the item badly. Please arrange other courrier services with us(see details on DHL/FEDEX/UPS shipping) You will receive a tracking number and mailing receipt as proof of shipping once item had been shipped out. The tracking number to be provided can be tracked online on www.usps.com for US clients once it entered US customs. For other countries- please check your local post website. For whatever shipping method. PLEASE PROVIDE YOUR CURRENT PHONE NUMBER, as we will not be be liable for returned items. SHIPPING(DHL/FEDEX/UPS) DHL/FEDEX/UPS shipment can be arranged for time sensitive and delicate items. For quote estimate. Simply send us an email or you may use the FEDEX shipping calculator and enter the follo
$
2001.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a Electroglas 4085x Horizon 200mm Wafer Prober System. ... moreRemoved from a Electroglas 4085x Horizon 200mm Wafer Prober System.
$
39.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
The side handles of the unit are missing. The carrier opens and closes with no obstruction. Individual Parts Center Retaining Feature: MW 300G-L (Polycarbonate). ... moreBody: MW 300GT-A (Polycarbonate). CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of this product.
$
362.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Nikon 200mm Wafer Indexer Lift Assembly OPTISTATION 3 Inspection System is used working surplus. The physical condition is good, but there are signs ... moreof previous use and handling. Removed from a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection System.
$
399.00
Buy It Now
$12.87 Shipping
Condition: Used
Location: Goleta, United States
Veeco/Bruker C-DLC : 160-004-900 R.A Wafer Chuck 300mm Diameter (8179)W Good cosmetic condition with some signs of use. Bottom has some scuff marks. Side ... moreis chipped. Taken from a working environment. See pictures for more detail.
$
120.00
Buy It Now
$80.05 Shipping
Condition: New – Open box
Location: Fargo, United States
1x 200mm Silicon Wafer Boron Doped 1.0-60.0 Ohms/cm 650-750um Thickness. Only includes 1 silicon wafer, as pictured. [100] orientation.
$
1096.20
Buy It Now
$11.34 Shipping
Condition: Used
Location: Boise, United States
REQUIRED BY US LAW. (See Hours of Operation, above). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 ... more电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
1400.00
Buy It Now
$300.00 Shipping
Condition: Used
Location: Ireland
Sabre 300mm O-Ring Wafer PEM Chuck. RTX No - 700836.
$
999.90
Buy It Now
Free Shipping
Condition: Used
Location: 구로구, South Korea
Manufacturer : ASML. But this item is not tested. Sold as is.
$
240.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
NEW BOX OF 4, ePAK ePAX-8/200-ASSY WAFER CARRIER STORAGE BOX. NEW UN-OPENED BOX, SEVERAL BOXES AVAILABLE.
$
15999.00
Buy It Now
Condition: Used
Location: Singapore
WENTWORTH LABS 6" MANUAL PROBE STATION/ WAFER PROBER (MITUTOYO MICROSCOPE) w/ TEMPTRONIC THERMO CHUCK. Type: Manual Probe Station/ Wafer Prober. Mitutoyo ... moreWF Trinocular Phototube/ Head. (1 pair) Mitutoyo WF 10x/24 Eyepieces.
$
44.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Manufacturer: Kakizaki. Wafer Slots: 25. Wafer Size Compatibility: 300 mm. Twist Lock Opening. The carrier is in good, used condition with minor scuffs ... morefrom previous use. Door Type: Manual Open/Close.
$
44.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Manufacturer: Kakizaki. Wafer Slots: 25. Wafer Size Compatibility: 300 mm. Door: Twist Lock, KTL-3004A-2. CDN Systems LLC, DBA Doug Deals, is not an authorized ... moredistributor or affiliated with the manufacturer of this product.
$
800.00
Buy It Now
$40.31 Shipping
Condition: New
Location: Austin, United States
These products are subject to export restrictions under U.S. law.
$
9900.00
Buy It Now
$38.91 Shipping
Condition: New – Open box
Location: San Jose, United States
This pedestal have three(3) pins to hold the wafer (design may be different than pictured.). We are not warranting accuracy of the specifications we provided. ... moreWe are not responsible for errors or omissions.
$
344.29
Buy It Now
Condition: Used
Location: Leander, United States
Compatible Wafer Size: 300mm. Wafer Slots: 25. Dock or forklift?. Residential area?. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor ... moreor affiliated with the manufacturer of this product.
$
44.99
Buy It Now
$36.38 Shipping
Condition: Seller refurbished
Location: Leander, United States
Compatible Wafer Size: 300mm. Wafer Slots: 25. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of ... morethis product. Original manufacturer's warranty does not apply.
$
249.99
Buy It Now
$54.29 Shipping
Condition: New
Location: Phoenix, United States
Entegris X6200-0114 200mm 8in. Cassette Wafer Carrier Boat New. Specifications are from manufacturer and may vary slightly due to upgrades, options, or ... morerevisions this unit may or may not have. 10-6-2020 BF.
$
578.23
Buy It Now
$149.00 Shipping
Condition: Seller refurbished
Location: Salt Lake City, United States
$
255.00
Buy It Now
$25.59 Shipping
Condition: New – Open box
Location: Schenectady, United States
ASP Fairchild Semiconductor 067449. Wafer is in used condition and is not tested. We have multiple available for sale so you may not receive the one photoed ... morebut they are all in similiar condition. You will only receive what is actually shown in the photos.
$
275.00
Buy It Now
$10.77 Shipping
Condition: New
Location: Scotts Valley, United States
The 3 degree layback design does auto align the wafers to each other.
$
731.95
Buy It Now
$14.68 Shipping
Condition: New
Location: Tucson, United States
AlGaN barrier layer thickness (nm): 25 nm. HEMT structure for 650 V power electronics applications. High breakdown GaN HEMT with overlapping gate structure ... moreN.-Q. Zhang; S. Keller; G. Parish; S. Heikman; S.P. DenBaars; U.K. Mishra.
$
49.50
Buy It Now
$12.45 Shipping
Condition: Used
Location: Haverford, United States
Semiconductor Vacuum Wand. With coiled air hose and ~16mm suction cup end. Swagelok 1/4" (6mm) Quick Connect stem with valve. Item appears to be in good ... morecondition. There is tape on a portion of the silicone tube, possibly a repair.
$
244.03
Buy It Now
$6.30 Shipping
Condition: New – Open box
Location: Buda, United States
Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. WE BUY SURPLUS SEMICONDUCTOR PARTS. (See Hours of Operation, above). Novellus C-1.
$
95.00
Buy It Now
$32.70 Shipping
Condition: Used
Location: Milpitas, United States
Farmon I.D.419906. IBM IC Electronics ICP Electronics Inc. ICS IDEC IDL Semiconductor IDX IEE IGC Polycold System Inc. Imtec Acculine IN USA INA InBus ... moreEngineering Industrial Computers Inficon Infranor Innova Electronics Integrated Circuit Dev.
$
195.00
Buy It Now
$33.74 Shipping
Condition: Seller refurbished
Location: Milpitas, United States
Farmon ID 402867. Asyst 4001-1354-01, 4001-2010-10, 4001 5670, SMIF Pod, for wafer Cassette transport, 150mm, Hoop . see pictures for more detail.
$
500.00
Buy It Now
$21.23 Shipping
Condition: Used
Location: Plano, United States
(AMAT Applied Materials 0020-14113 Rev-A. Wafer Cassette Support Assy. 3 Slots 125mm Cassettes. 1) 25 Wafer Slot.
$
242.29
Buy It Now
$35.85 Shipping
Condition: Used
Location: Leander, United States
(1) 200mm Quartz Wafer Carrier. Quartz wafer boat. For 200mm wafers. The majority looks clean and clear, but the mounting legs on top have a rough texture ... moreand are partly opaque; we are not sure why but it may have been etched by use.
$
99.99
Buy It Now
$62.94 Shipping
Condition: Used
Location: Phoenix, United States
Specifications are from Entegris and may vary slightly due to upgrades, options, or revisions this unit may or may not have. The Storage Box's Model Number ... moreReads: Empak HA-200. The Carrier's Model Number Reads: KA200-80MH.
$
3007.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Brooks Automation 002-7391-08 is used working surplus. The physical condition is good, but there are signs of previous use and handling. These products ... moreare subject to export restrictions under U.S. law.
$
8500.00
Buy It Now
Condition: For parts or not working
Location: Palo Alto, United States
AMAT 200MM ENDURA Wafer Handler. Applied Materials AMAT FABS-202 Wafer Handler.
$
708.75
Buy It Now
$19.58 Shipping
Condition: Used
Location: Buda, United States
REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. (See Hours of Operation, above). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, ... moretā jiāng bèi hǎiguān kùn zhù. Měiguó fǎlǜ yāoqiú.
$
299.99
Buy It Now
$26.42 Shipping
Condition: Used
Location: Leander, United States
(1) Shin-Etsu MW200 25 Capacity Silicon Wafer Carrier. Model: MW200. FOSB Wafer Carrier. This carrier and silicon wafers are in good condition. The wafers ... morehave various BGA etching micro-circuits fabricated on one side and are polished on the other side.
$
5000.00
Buy It Now
Condition: Used
Location: Hollister, United States
This H-Square X2 WS300 Wafer Sorter (300mm) is used non-working surplus and is being sold as-is. The hard drives have been removed from the computer. ... moreAlso, it shows signs of use and handling, such as, minor scuffing and scratching.
$
5999.99
Buy It Now
$19.99 Shipping
Condition: New
Location: Woburn, United States
Device Si thickness: 10um. You got one sealed box of 25 pcs of the SOI wafers. See details on the photos.
$
802.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi Ceramic End Effectors 1-5 300mm Wafer Complete Set of 5 M-712E is used working surplus. The physical condition is good, but there are signs ... moreof previous use and handling. Part No: Ceramic End Effectors 1-5.
$
1520.00
Buy It Now
Free Shipping
Condition: Used
Location: Israel
The pictures that you see are the real pictures of one the item, i.e., “what you see is what you get”. We have no testing capabilities. The item is sold ... moreuntested. The item looks in good condition.
$
25.00
Buy It Now
$28.61 Shipping
Condition: Used
Location: Manchester, United States
PART NUMBER PH9200. BOAT HAS 25 SLOTS.
$
18.99
Buy It Now
$13.88 Shipping
Condition: Used
Location: Las Vegas, United States
H-SQUARE CO. SQ2 942-4 75 MM (76.4) 3" WAFER PICKUP HANDLE VACUUM SUCTION ESD. This lot is for oneH-Square Co. SQ2 942-4 75 mm (76.4) 3" Wafer Pickup ... moreHandle Standup. Arrives as shown electrostatic cable included.
$
1212.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: PR300Z 300mm Wafer Rack Assembly. Copper Exposed.
$
1212.22
Buy It Now
$26.42 Shipping
Condition: Used
Location: Albuquerque, United States
This Kokusai Electric 300mm Wafer Notch Aligner is working surplus. The physical condition is good, but there are signs of previous use and handling. ... moreModel No: Notch Aligner PLC. Cosel Part No: ACIN 85.
$
99.99
Buy It Now
$32.05 Shipping
Condition: Used
Location: Leander, United States
Model: PH9200. (3) Entegris PH200 20mm 25-Capacity Wafer FOUP Carriers. Manufacturer: Entegris. Compatible Wafer Size: 200mm (8"). The interior is in ... moreexcellent condition. Double Snap Cover. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of this product.
$
2011.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary.
$
85.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Hudsonville, United States
$
459.00
Buy It Now
Condition: New – Open box
Location: Singapore
PURE WAFER P/BORON 200MM 675-750μm VIRGIN TEST, NOTCHED, 2000Ä THERMAL, LOT OF 25 UNUSED . Make: Pure Wafer. Unit's Specifics. Qty: 1 lot of 25 units. ... moreType/Orientation: P/Boron.
$
5400.00
Buy It Now
$560.00 Shipping
Condition: Used
Location: South Korea
Manufacturer : Brooks Automations. It is the fastest way to settle your concern or issue. a product that needs to be repaired.
$
89.00
Buy It Now
$11.75 Shipping
Condition: New
Location: Campbell, United States
Part Number: 14464. New Heraeus 125mm Wafer Carrier. See pics for details.
$
55000.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
Cascade Microtech S300 semi-automatic and manual RF/Microwave Probing System. The S300 supports wafer sizes and shards from 0.5 in. (1 mm) all the way ... moreup to 12 in.
$
3825.00
Buy It Now
$1499.00 Shipping
Condition: Used
Location: Israel
What you see is what you get.
$
80.00
Buy It Now
Free Shipping
Condition: Used
Location: El Sobrante, United States
Get up to 50.
$
225.00
Buy It Now
$89.80 Shipping
Condition: Used
Location: Corvallis, United States
Vacuum wafer chuck --integral parts for the Signatone S-450 Semi-Automatic Probe Station.
$
297.49
Buy It Now
$22.00 Shipping
Condition: New – Open box
Location: Mesa, United States
YOU ARE BUYING ONE SPEEDFAM 0810-717415 WAFER CARRIER 2805-700924 ASSEMBLY 200MM. 0.125 HOLES. (MWUPWSRX3)CJ (G1CAGE5C).
1 2 3 4 5 6 7 8 9 10 11 12 13 14
 In