Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
This site contains affiliate links for which I may be compensated
$
39500.00
Buy It Now
Condition: Used
Location: Carpinteria, United States
ALL CLAIMS MUST BE REPORTED AND ACKNOWLEDGED WITHIN 72 HRS OF RECEIPT. WE HAVE SEVERAL WAREHOUSES. WARRANTY IS NULL VOID IF ANY ITEM IS TAMPERED WITH, ... moreOPENED OR DISASSEMBLED. IF THERE ARE ANY PROBLEMS WITH THE ITEM DO NOT TRY TO REPAIR AND INVESTIGATE.
$
14000.00
Buy It Now
Condition: For parts or not working
Location: Carpinteria, United States
Karl Suss MA56 Mask Aligner for Photolithography Description Karl Suss MA56 Mask Aligner for Photolithography This unit has been factory rebuilt and is ... morein excellent condition(New in 1986) Fitted for(4" four inch wafers using a 350W Exposure unit. X. Y, Omega Stage& Scope Manipulator, Cassette System with Prealigned Station, Utilities Required: Vacuum. Compressed Air, Nitrogen, Power(1.1kW) Hg. Exposure Optics& Vacuum Contact Tooling intact, Lamp power supply. Camera and Monitor not included. Dimensions; 46 W x 42 D x 58 H approximately 1200 Pounds, Manufacturer Karl Suss Manufacturer Part Number MA56 Item/Ship Weight 1200 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300. Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 36147 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shippin
$
2499.00
Buy It Now
$24.74 Shipping
Condition: New
Location: Gilroy, United States
This item is a wafer carrier with a 150mm pocket made by Amat, a well-known brand in the semiconductor and PCB manufacturing industry. It is a replacement ... morepart with the part number 440414-00, designed to accommodate 300mm wafers. The carrier is made of high-quality materials and is compatible with various manufacturing equipment. It is ideal for businesses in the semiconductor and PCB manufacturing industries, and can help improve production efficiency and output.
$
2500.00
Buy It Now
$45.42 Shipping
Condition: Used
Location: Carpinteria, United States
H-SQUARE WB5L-456 WAFER PRESENTER (SELECT DIFFERENT. vacuum wand or for wafer inspection. Unique position idicator provides clear. ease-of-use and safe ... morewafer handling. Small table-top footprint saves valuable. ).
$
5200.00
Buy It Now
$25.00 Shipping
Condition: New
Location: San Jose, United States
8” 200mm Silicon Wafers, Float zone, Drom Siltronic LTD ( 25 Pc. ).25 wafers 8”, Float Zone.Resistivity : 5000- 100000 ohm/cmThickness 725 +/- 15 microns.Dopant. ... morePHTYPE. N Double bag packed.Shipped insured. USPS
$
1500.00
Buy It Now
$84.48 Shipping
Condition: Used
Location: Carpinteria, United States
ALL CLAIMS MUST BE REPORTED AND ACKNOWLEDGED WITHIN 72 HRS OF RECEIPT. WE HAVE SEVERAL WAREHOUSES. WARRANTY IS NULL VOID IF ANY ITEM IS TAMPERED WITH, ... moreOPENED OR DISASSEMBLED. IF THERE ARE ANY PROBLEMS WITH THE ITEM DO NOT TRY TO REPAIR AND INVESTIGATE.
$
7300.00
Buy It Now
$180.00 Shipping
Condition: New – Open box
Location: Campbell, United States
Wafer Sight Thickness Gauge ( WSTG48. and WSTG83 ).
$
9500.00
Buy It Now
Condition: Used
Location: Orange, United States
Category:WAFER GRINDING, LAPPING & POLISHING. (1) for rough polishing. (1) for final polishing. Polishing plate rotation speed: 6 - 36rpm. Pressure plate ... moredrive motor: 0.2kW, 4P. Pressure plate drive speed: 6-36rpm.
$
39000.00
Buy It Now
Condition: Used
Location: Carpinteria, United States
The single-wafer processing in single station Trilennium systems yields maximum throughput and repeatability, while minimizing chemistry consumption. ... moreWE HAVE SEVERAL WAREHOUSES. System was de-installed in working operational condition.
$
2165.00
Buy It Now
$45.42 Shipping
Condition: New – Open box
Location: Santa Barbara, United States
CANON STEPPER LENS AND HOUSING ASSEMBLY 1. Canon MPA 600FA - Mirror Projection Aligner 1. 6" PIN CHUCK (SET OF 2) FOR THE CANON MPA-600 1. CANON BG6-0583 ... moreBH4-0603 BOARD 1. CANON BH4-0542 1. CANON MPA-600 SERIES PARTS IV-VII SERVICE MANUAL 1.
$
499.00
Buy It Now
$45.00 Shipping
Condition: Used
Location: Madera, United States
25 wafers with very light oxide coating300mm 12" silicon wafers Thickness 740-770 um p type
$
2400.00
Buy It Now
$53.00 Shipping
Condition: New – Open box
Location: Gilroy, United States
$
180.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
MPN for carriers: K182-60MB-97C02. Latch style: flip, snap. MPN for boxes: E160-60-103-69F16.
$
150.00
Buy It Now
$12.00 Shipping
Condition: Used
Location: San Jose, United States
A182-60MB Entegris / Fluoroware 150mm (6 inch) Teflon Wafer Cassette PTFE..
$
155.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Entegris wafer storage boxes, 6" 150mm. Latch style: flip, snap. Boxes are compatible with all 25-capacity carriers without handles.
$
95.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
MPN for carriers: K182-60MB-97C02. Latch style: flip, snap. MPN for boxes: E160-60-103-69F16.
$
24.50
Buy It Now
$12.50 Shipping
Condition: New – Open box
Location: Albuquerque, United States
Options to accommodate thin, standard, and thick wafer thicknesses. 25-wafer carrier (for standard thickness wafers, 400 µm – 800 µm). Condition: New, ... morefactory shrink-wrapped box.
$
6995.00
Buy It Now
$37.85 Shipping
Condition: New
Location: Gilroy, United States
This AMAT P/N: 0190-15066 300MM MAX EMISSOMETER LOW EMISSIVITY WAFER is a high-quality semiconductor and PCB manufacturing equipment that is perfect for ... moreyour business needs. With its brand Applied Materials, you can be assured of its top-notch performance and durability. This tool and machine component is ideal for CNC, metalworking, and manufacturing businesses. It is specifically designed to serve your low emissivity wafer needs, making it an indispensable part of your manufacturing process. Get this AMAT P/N: 0190-15066 300MM MAX EMISSOMETER now and experience its efficiency and reliability.
$
1000.00
Buy It Now
$30.37 Shipping
Condition: Used
Location: Gilroy, United States
$
31999.00
Buy It Now
Condition: Used
Location: Carpinteria, United States
Karl Suss MJB 21 Mask Aligner Suss MJB 21 Double- Side Mask Aligner For simultaneous double- side or single side mask and subsequent exposures. Partially ... moreautomated operation function program Alignment accuracy. Wafers and substrates up to 3 x 3" We accept Purchase Orders from Universities and Colleges Call us for details at 978-771-0481
$
6995.00
Buy It Now
$37.85 Shipping
Condition: New
Location: Gilroy, United States
Introducing the AMAT P/N 0190-14999 300MM MAX EMISSOMETER HIGH EMISSIVITY WAFER, a brand new item from Applied Materials. This product is perfect for ... morethose in the Tool & Machine Components, Semiconductor & PCB Manufacturing Equipment, CNC, Metalworking & Manufacturing, and Business & Industrial industries. With its high emissivity wafer, this product guarantees top-notch quality and performance. Its 300MM max size ensures that it can handle a wide range of tasks, making it a versatile addition to any workplace. Purchase now and experience the difference that the AMAT P/N 0190-14999 300MM MAX EMISSOMETER HIGH EMISSIVITY WAFER can bring to your business!
$
8911.00
Buy It Now
Condition: For parts or not working
Location: Santa Barbara, United States
This is a Micromanipulator Co. 6200 probe station with Mitutoyo FS-60FC microscope and user manual. Micromanipulator's 6000 series is the most widely ... moreused probe station by any manufacturer. First introduced for LSI probing. It has been refined and upgraded over the years and is now used routinely for VLSI probing. Compatible with most of Micromanipulator's extensive line of probes and accessories, the 6000 series is very compact and economical, making it an excellent foundation on which to build a complete probing system. The 6200 Prober is the workhorse 150 mm wafer manual probing station. It is designed to provide an instrument that can find application in both high precision analytical probing and general probing tasks. A vacuum chuck stage(holding surface) is provided for microcircuit wafers. Also provided are coaxial controls for positioning the vacuum stage in either the X or Y horizontal planes. This same stage may be removed and quickly replaced with a different type of stage in approximately one minute. A horseshoe shaped platen on which probe holders are placed is provided. The platen may be raised and lowered. Thus providing a means of lifting all probe holders and attached probes simultaneously, prior to lifting the microscope. An adjustable microscope lift point is provided to prevent collision of the probes and the microscope objectives when lifting. This also allows the wafer to be repositioned without disturbing the microscope focus. The platen accepts up to twelve probe holders with either vacuum or magnetic bases. Two. Six-output vacuum manifolds are located on the left and right sides of the probe station, just behind the platen. Electrical connection to the probe holders is provided by two sets of color coded connectors, one set on the left and one set on the right side of the platen. Each set consists of two BNC and four three-lug triaxial connectors. Each connector is wired to a corresponding connector situated in two groups at the rear of the baseplate. Each of these connectors are
$
175.00
Buy It Now
$24.00 Shipping
Condition: New – Open box
Location: Albuquerque, United States
Options to accommodate thin, standard, and thick wafer thicknesses. Carrier for standard thickness wafers, 400 µm – 800 µm.
$
26997.00
Buy It Now
Condition: Used
Location: Farmingdale, United States
Karl Suss PM8, 8in. Manual Probe Station w/ Vibraplane Vibration ISO Workstation. Includes: Vibraplane - 1201-01-11 - VIBRATION ISOLATION WORKSTATION. ... moreThe PM8 is designed specifically to provide you with a highly stable, ergonomic and flexible probing platform.
$
24997.00
Buy It Now
Condition: Used
Location: Farmingdale, United States
The PM8 is designed specifically to provide you with a highly stable, ergonomic and flexible probing platform. Manual Prober, 8" Probe Station. Make: ... moreKarl Suss. Model: PM8.
$
24997.00
Buy It Now
Condition: Used
Location: Farmingdale, United States
The PM8 is designed specifically to provide you with a highly stable, ergonomic and flexible probing platform. Make: Karl Suss. Model: PM8. Manual Prober, ... more8" Probe Station. Tested Good. M Plan Apo (0.28).
$
15750.00
6d 20h 20m 15s
0 bids
Condition: Used
Location: Santa Barbara, United States
The Karl-SussMicroTec SB6 Wafer Bonder is in good condition and fully operational and can bedemonstrated. Thesepanels can be replaced if the price is ... moreright.
$
250000.00
Buy It Now
Condition: Used
Location: Milpitas, United States
Farmon ID: 113479. Novellus Inova XT PVD 300mm wafer, Sputter, Preclean, Dual Brooks Robot Transfer, Complete Tool. - Brooks FOUP cassette wafer loader. ... more- PVD chamber. NOVELLUS / 02-288084-00 / WTS-HV SESIOC 0, Rev.A.
$
12500.00
Buy It Now
Condition: Used
Location: Milpitas, United States
Farmon ID: 453291. Power cable not inclu ded. Item turns on as shown in pictures but that's the full extent of our testing. Price is for one unit. 562 ... moreSouth Milpitas Blvd. Whenever necessary.
$
75000.00
Buy It Now
Condition: Used
Location: Morgan Hill, United States
841 Teradyne Planarization kit for Electroglas Model #961-788-00. 842 Xynetics Electroglas 2001X MUX boards. 385 ELECTROGLAS 251074-002 / 251074002. 252 ... moreElectroglas 244288-001 REV AF/L TESTER INTERFACE PCB Board.
$
7500.00
Buy It Now
Condition: Used
Location: Milpitas, United States
Farmon ID: 453289. Whenever necessary. We are also interested in your unwanted or excess inventory. Price is for one unit.
$
8950.00
Buy It Now
Condition: Used
Location: Union City, United States
MFGModel: LH830. Wafer thickness: 6" wafer 0.0005" (125micron) min. Wafer Sizes: 4" - 8". Manufacturer: Long hill.
$
6800.00
Buy It Now
Condition: Used
Location: Tempe, United States
An item that has been refurbished to its original manufacture specifications. The Micro Automation Dicing Saw Model 1006 comes with a new front panel, ... moreNew color camera. And a flat color monitor. It also comes with a built in hairline generator. This MA1006 Saw has a cutting range up to 6 inches. The. Cutting rate is from 0.005 to 12 inches/second. The blade repeatability is 0.00025 inch over 6 inches. Also the Y Linearity is electronically correctable. The cutting depth range on the MA1006 is from 0.0001 to 0.185 inches. Also the spindle speed can be adjusted from 10.000 to 40,000 RPM. For more information or specs please email.
$
65000.00
Buy It Now
Condition: Used
Location: Milpitas, United States
Farmon I.D. 423274. SPV Lightsource. See pictures for more details. Software and manual is not included. 562 South Milpitas Blvd. Farmon Inc. Monster ... morePowerCenter PC700. see pictures for more detail.
$
145000.00
Buy It Now
Condition: Used
Location: Morgan Hill, United States
Category : Plasma Asher Plasma Descum. GaAs, InP wafer Strip and Descum. The Model 105 provides high throughput in a single wafer system capable of handling ... morewide variety of substrates, including round or square, and ranging from 50mm up to 150mm.
$
265000.00
Buy It Now
Condition: Used
Location: Morgan Hill, United States
The Branson IPC L3200 Plasma Asher / Photoresist Stripper is Subject to prior sale without notice. BRANSON L3200 PHOTORESIST STRIPPER PLASMA ASHER. 2 ... moregas lines with MFCs: O2 a 5 L MFC for gas 1 and a 500 mL CF4 MFC for gas 2.
$
2000000.00
Buy It Now
Condition: Seller refurbished
Location: Rockville, United States
Questions? Call us: 1-877-328-9236. AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials. Manufacturer: Applied Materials ... moreAMAT Model: 0020-24914 Condition: Refurbished by Seller Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Applied Materials AMAT Condition: Refurbished by Seller. Comes in non-original packaging. Notes: No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Applied Materials 0020-24914 Cover Ring, Specifications: Manufacturer: Applied Materials, AMAT Part# 0020-24914, Revision: A, Description: Cover Ring SST 8" 5500 PVD, Size: 200mm(8.00" Cycle Count: 1, For Use With: Applied Materials Endura 5500 PVD(Physical Vapor Deposition) Systems, Total Weight: 4.60 lbs. Quantity: 1, SKU: L44P024 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. DougDeals does not ship on buyer accounts or allow the buyer to arrange shipping. Shipment must be arranged through us and will be prepaid
$
3500.00
Buy It Now
Condition: Used
Location: Scotts Valley, United States
Used complete furnace from a small wafer fab. We will work with your freight system, freightquote does provide good value if you arrange it.
$
19500.00
Buy It Now
Condition: Used
Location: Grapevine, United States
Wafer input: single wafer. Sapphire Automation IPESCAN005/IRIV03V00 Wafer ID, Laser Writer/Reader System. Size: 156 x 156-mm Photovoltaic wafers/cells.
$
45000.00
Buy It Now
Condition: Used
Location: Morgan Hill, United States
GaAs, InP wafer Strip and Descum. The Model 205 provides high throughput in a single wafer system capable of handling wide variety of substrates, including ... moreround or square, and ranging from 50mm up to 150mm.
$
18500.00
Buy It Now
Condition: Used
Location: Morgan Hill, United States
Model: MRC 603. OEM: Materials Research Corporation.
$
7500.00
Buy It Now
Condition: New
Location: Morgan Hill, United States
139 Ziatech ZT8950-0 FDC PCB Card AG Associates Heatpulse 4100s. 140 Ziatech ZT8950-0 FDC PCB Card AG Associates Heatpulse 41+D1:F14000s. 25 AG Associates ... more7100-5128-069 ERP Linearizer PCB Card 4100s Heatpulse.
$
704.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. THETA SPARE. Model No: DUAL STEPPER DRIVER. Serial numbers or country ... moreof manufacture may vary. These products are subject to export restrictions under U.S. law.
$
1012.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection System. EPROM: LED-AF, v1.09, U5. This Nikon 2S701-009 NTP-NMC-T Board PCB Card is ... moreused working surplus. The physical condition is good, but there are signs of previous use and handling.
$
58.30
Buy It Now
$48.00 Shipping
Condition: Used
Location: United Arab Emirates
Industrial Single Board Computers.
$
145000.00
Buy It Now
Condition: Used
Location: Morgan Hill, United States
SAMCO RIE 10 NR – SAMCO RIE 10NR Reactive Ion Etch System | Dry Etching System / Tool with Thermo Electron Thrmoflex 900 Chiller. Maker: SAMCO. 5 SAMCO ... moreRIE 10 NR. 3 PlasmaTherm 790 Series Reactive Ion Etching Plasma Enhanced System RIE.
$
25000.00
Buy It Now
Condition: For parts or not working
Location: Milpitas, United States
Integrated INT1-APM1 300mm Wafer Cleaner Spin/Rinse/Dry Module, INT1, H9/10, APM1, SRDi, Spin, Rinse, Dry, HEPA. Farmon ID: 451850. Has a nozzle at the ... morebottom the center and the edge of the wafer as shown in the pictures.
$
8899.00
Buy It Now
Condition: Used
Location: Fremont, United States
Item is used. Free local pick up. We have loading dock and forklift.
$
12950.00
Buy It Now
Condition: New
Location: Little River, United States
Brand New- 3D Surface Profiling System Non-Contact using low power safe Class II Laser Expandable and modular design Custom Software available for semi-automated ... moreor fully automated part measurement. Entry Level sensor desktop system- complete with: PC Control and Data Acquisition System 6" x 6" XY precision travel stage( +/ 1.25 microns) Manual Z focus Single red Laser sensor- many to choose from- we guide you and this selection process so you can see the data output before the sale is finalized. Suitable for Lab or Production use Easy to use software included with optional analysis software and optional custom software to meet your measurement needs. Resolutions down to +/ 0.05 microns( 50 nm) We have been making these off the self and custom machines since 2003. On site setup and delivery is optional. We will test your samples/parts to make sure this system works for you or apply the paid cost to a similar system utilizing one of over 50 sensors that we have available today utilizing- White light CLA technology. Red, Blue, or Violet Lasers, IR sensors, or even Line lasers. Current applications for our machines- not all of these applications are achieved with the system offered in this listing as it is an entry level machine: Semi-conductor wafer coplanarity* Wafer flatness and defect detection* Paint defects* Roughness determination on virtually all surfaces* Dimensional measurement* Automotive parts and finishes* Large. Small, and micro weld profiling* Medical tissue- even in sterile environments* IC Chip feet coplanarity* Film thickness* Texture on transparent or opaque films* Glass thickness measurement* Thickness of large parts And many more! See our Website for more examples: 3dprofiler.com We will arrange delivery of the system/ onsite setup and training during the sale finalization process. All Systems carry a 1 year warranty- serviced by B&H Machine/ 3D Profiler.com in Roberts. Wisconsin. We ship to most countries.
$
45000.00
Buy It Now
Condition: Seller refurbished
Location: Morgan Hill, United States
An Advanced Rapid Thermal Processing System with Multi-Gas Capabilities. Dual-Arm Robot Transport. C from set point. This feature greatly simplifies programming ... morecomplex multi-step cycles, since no “tweaking” of system variables is required.
$
35500.00
Buy It Now
Condition: Used
Location: Morgan Hill, United States
Wafer Sizes for the HEATPULSE 610: 2 ″, 3 ″, 4 ″, 5 ″ and 6 ″. Optional MFC, Up to 4. Maker: AG Associates. ± 5°C across a 6 ″ (150mm) wafer at 1150°C. ... more(This is a one sigma deviation 100 angstrom oxide.).
$
195000.00
Buy It Now
Condition: Seller refurbished
Location: Morgan Hill, United States
GaAs, InP wafer Strip and Descum. The Model 205 provides high throughput in a single wafer system capable of handling wide variety of substrates, including ... moreround or square, and ranging from 50mm up to 150mm.
$
195000.00
Buy It Now
Condition: Seller refurbished
Location: Morgan Hill, United States
GaAs, InP wafer Strip and Descum. • Enhanced gate oxide integrity. The Model 105 provides high throughput in a single wafer system capable of handling ... morewide variety of substrates, including round or square, and ranging from 50mm up to 150mm.
$
17500.00
Buy It Now
Condition: Used
Location: Milpitas, United States
Pacific Western Systems, PWS P5MS Wafer Prober Assy. IBM IC Electronics ICP Electronics Inc. ICS IDEC IDL Semiconductor IDX IEE IGC Polycold System Inc. ... moreImtec Acculine IN USA INA InBus Engineering Industrial Computers Inficon Infranor Innova Electronics Integrated Circuit Dev.
$
24500.00
Buy It Now
Condition: Used
Location: Milpitas, United States
Pacific Western Systems, PWS P5MS Wafer Prober Assy. - Powermax II, 1.8 Step Motor, M2NRXA-LNN-NS-00. Price is for one unit. Whenever necessary. Objective ... moreLens - Sony Triniton Monitor PVM-14N5U.
$
14744.00
Buy It Now
Condition: Used
Location: Fremont, United States
The 5600LS provides researchers a perfect tool for many nanotechnology applications, including semiconductor, materials science, and life science studies. ... moreThe 5600LS supports Agilent open-loop and closed-loop scanners as well as Agilent STM scanners for atomic resolution.
$
26650.00
Buy It Now
Condition: Used
Location: Carpinteria, United States
Normal 0 For Sale: Used operational Model 7AA wafer back grinder. Comes with 6" and 8" chucks. Unit is currently runnable and can be viewed ... moreby appointment if desired. Had the Y servo motor replaced about 6 months ago, cost me $5000. Recently, I was grinding wafers down to production thickness with this tool, and, am now done with it, it worked great. The wafers are fed by hand, and ground/measured one at a time. Unit has an air bearing spindle, so clean air is a must. Unit is 230V, 3 phase, 30 amps, 60Hz. Peripheral vacuum pump station and sump are included. I don't know the exact weight of this unit, but probably somewhere in the 2k-3k lbs range. Serial# 671197 This unit is being sold as is with no warranty expressed or implied. Buyer will receive the actual unit shown in the pictures. Instruction manual and schematics are included but no other accessories are included unless given in the listing. Item will have to ship freight at the buyers arrangement and expense. I can strap it on pallets and put it on a truck for $100. probably 3 pallets will be required) Please add the palletizing cost to the total before paying if you desire that service or request an invoice. Note: Sorry no international buyers. Continental US buyers only! All items for sale are described to the best of our knowledge and belief. Please do not assume that accessories that are not shown are present or the condition of the item is other than described or pictured. Any questions please feel free to ask. Shipping& Handling: We ship via UPS ground to the lower 48 states. Unless otherwise noted. Items normally ship in 3 days or less once payment is made, but freight items may require additional time. If you are the winning bidder, please request a total dollar amount before paying. A handling charge may be required to cover boxing or palleting expenses, but is usually a modest amount and will be stated in the listing. Crating and/or other services will need to be handled by the buyer as I do not offer those. Payment Terms: Paym
$
3999.20
Buy It Now
Condition: Used
Location: Saint-Égrève, France
Wafer system handling contain Carrier 1 position 300mm. Carrier 2 positions 200mm. We will find it for you! 40-30 also proposes maintenance services (vacuum, ... moreRF, electronics and cleaning technologies), training, IT applications and engineering.
$
3540.00
Buy It Now
Condition: New
Location: South Korea
Condition : New.
$
35000.00
Buy It Now
Condition: Used
Location: China
We sell the ELECTROGLAS EG 4085X wafer probe or prober at fully refurbished.Complete,working condition to OEM spec. The system is in our Santa Clara facility. ... moreLead time is 6 weeks against official PO and payment. The valid term is subject to sales.Please contact us for availability. Here is the configuration for the system for your reference.The actual configuration will be specified in our official quotation. Wafer Size Range: 200 mm Cassette to Cassette Temperature Control Chuck optional Automatic Alignment OCR Camera Weight: 850 lbs Installation with warranty is optional at extra cost. We also have the following.Please contact us for availability. EG 2001 probe* EG 4085 probe* EG 2080 probe* EG 2010 probe PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the item
18 19 20 21 22 23 24 25 26 27 28
 In