Wafer Carrier Fluoroware Wafer Automation Wafer 150Mm Wafer 200Mm Wafer Wafer Transfer Robot Wafer Handling Amat Quantum Sputtering System Wafer Loader Spin Rinser Dryer 300Mm Wafer Wafer Inspection Nikon Nsr-S307e Wafer Loader Tel Alpha 8S Mm Wafer Srd Rotor Wafer Cassette Wafer Transfer Wafer Probe 8 Wafer Wafer Prober Amat Centura Silicon Wafer 6 Wafer Wafer Chuck Brooks Automation Wafer Handler Dainippon Screen Tel T-3044Ss Etcher Automation Robot Plasma Asher Wafer Handling Robot Plasma Etcher Automatic Wafer Amat Endura 3 Wafer Semiconductor Wafer Vertical Furnace 100Mm Wafer Wafer Load Mks Pressure Transducer Model Board Tel Single Wafer Kla Tencor Wafer Shipping Dns Dainippon Screen Hitachi S-9380 4 Wafer 300Mm Esc Genmark Circuit Board Amat Pri Automation Wafer Holder Throttle Valve Deposition System Nikon Nsr Wafer Cassette 25 Slot Nikon Scanner Rf Match Load Port Slit Valve Spin Rinse Dryer Probe Station Rigaku 3620 Svg Asml Etch System Lam Research Wafer Probe Station Tel Alpha 8S Vertical Furnace Circuit Board Nikon Nsr-S307e Wafer Loader Plasma Therm Wafer Transport Wet Bench Vacuum Chuck Wafer Process Thin Film Wafer Cassette Carrier Karl Suss Asyst Technologies Electron Beam Semitool Sti Spin Rinser Dryer Srd 13 56 Mhz 10 Torr Branson Ipc Esc Electrostatic Chuck Electrode For 2300 Process Station Headway Research Silicon Valley Tokyo Electron Transfer Valve Robot Arm Entegris Fluoroware Endura 300Mm Rigaku 3620 X-Ray Wafer Processor Tel Tokyo Electron Advanced Dicing Quick Dump Wafer Lift Etec Mebes 4500 Advanced Energy Kla-Tencor Ait Uv Equipe Pri Brooks Horiba Stec Diffusion Furnace Nikon Precision Nikon Scanner S202a Nikon Plasma Etch Wafer Transfer System Kla Quantox Xp Di Water Kla Sp1-Tbi Sputter Throttle Valve Controller Rinse Tank Plasma Source Spin Rinser Dryer Srd 4 Bolt Airco Temescal Vacuum Chamber Sec-Z512x Stec Mass Flow Controller Z500 Kokusai Zestone Adt Micro-Swiss Flange Hull Cell Genmark Automation Mks Instruments Wafer Spin Tokyo Electron Limited Wafer Flat Ion System Circuit Board Revision Inspection Station Gas Panel 13 56Mhz Load Station Asm Epsilon 3200 Linear Motor Controller Precision 5000 Efos Novacure Canon Pcb Pws Wafer Inspection Probe System Ii Wafer Inspection Probe System Ii Controller Circuit Board Amat Centura 300Mm Silicon Wafer Shipping Flat Finder Amat Quantum X Process Module Sti Spin Rinser Dryer Srd 4 Pcb Assy Hitachi S-9380 Main Body Wafer Cassettes Generator Power Supply Dual 150Mm Nikon Nsr-S204b Wafer Loader Nikon 4S013-684-1 Crossing Automation Robot Controller Wxz Heater 8 Assy Seasoning P5000 Random Hitachi Mu-712E Seiko Seiki 200Mm Silicon Wafer Load Lock 200Mm 8 Esc Electrostatic Chuck Electrode Sloan Dektak Film Thickness Brooks Tazmo Plasma Etch System Mass Flow Meter Purity Process Pressure Controller 300Mm Esc Electrostatic Chuck Electrode Uv Curing System Light Source Boc Edwards Act12 200Mm Grinder Polisher Turbo Pump Controller Watt 13 25 Slot Measurement System Cassette Carrier 25 Slot Turbomolecular Pump Remote Plasma Teach Pendant Load Ports Sputter System Controller Rack Controller Chassis Reactive Ion Etch 150 Mm 2 Quartz 200 Mm 200Mm 8 30 Day Warranty 300 Mm 4 100Mm 4 Inch 50 Slot Wafer Carrier Quartz Boats 6 150Mm 6 Inch 60 Day Warranty
 In 
 
Order by: 
Available to: 
Price: 
 - 
This site contains affiliate links for which I may be compensated
$
450.00
Buy It Now
$15.40 Shipping
Condition: New
Location: East Lansing, United States
25 pcs sealed in box. Condition is New.
$
604.15
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This 200mm ceramic end effector is used working surplus. The overall physical condition of the unit is good and clean. Size: 200mm. These products are ... moresubject to export restrictions under U.S. law.
$
29.22
Buy It Now
$8.98 Shipping
Condition: New – Open box
Location: Fremont, United States
Actual Picture - ONE UNIT (DISK) PER ORDER.
$
39.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Compatible Wafer Size: 300mm. Wafer Slots: 25. Robotic Flange: MW300G-M. Material: Polycarbonate. CDN Systems LLC, DBA Doug Deals, is not an authorized ... moredistributor or affiliated with the manufacturer of this product.
$
150.00
Buy It Now
$18.04 Shipping
Condition: New
Location: Milpitas, United States
Fastcom Faulhaber Federal Pioneer Federal Products Co. Futaba Futurestar Galil Motion GaSonics Gast GasTech Gaston Gates GC Valves GD California GE Fanuc ... moreGE General Electric GE Industrial Services Gems Sensors GEMU General Monitors General Precision General Scanning Genie Industries Genmark Automation GenRad Genwac Georg Fischer Geotest Gespac GF Signet Glassman Glentek Global Laser Gluton West Go Inc.Gould GPI General Precision GPI General Precision Inc.
$
5700.00
Buy It Now
$30.14 Shipping
Condition: Used
Location: Goleta, United States
Model: Vacuum End Effector. Includes: FRU Procedure, End Effector, Single, Razor Manual. Wafer Size: 450mm. Manufacturer: Brooks Automation. make Supersized ... moreseem small. Z: 200 W Lower.
$
3204.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Model No: SCE92100050. This Shinko Electric 3D80-050107-V1 is used working surplus. The physical condition is good, but there are signs of previous use ... moreand handling. The interface covers have been cut (see photos).
$
6500.00
Buy It Now
$13.92 Shipping
Condition: Used
Location: Buda, United States
Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson at getsparesllc. ... more(See Hours of Operation, above).
$
274.16
Buy It Now
$149.00 Shipping
Condition: For parts or not working
Location: Salt Lake City, United States
$
625.00
Buy It Now
$35.00 Shipping
Condition: New
Location: Tempe, United States
Quantity 25 pieces total. Silicon wafers polished on the front side with 1000A (100nm) oxide on both sides. Any type; any orientation; 0-100 ohm.cm resistivity; ... moreany thickness; any back surface; any flats; Can be utilized for machine cycle testing or further processed to make devices.
$
20000.00
Buy It Now
Condition: Used
Location: Hollister, United States
This Camtek Falcon 200 ALB Wafer Inspection System (200mm), is used untested surplus and is being sold as-is. Also, the objectives have been removed. ... moreIt shows signs of use and handling, such as, scuffing and scratching to the paneling.
$
595.00
Buy It Now
$30.37 Shipping
Condition: Used
Location: North Billerica, United States
Does not include wafer.
$
9999.99
Buy It Now
Condition: For parts or not working
Location: Phoenix, United States
Kensington Laboratories CSMT-4 Compact Wafer Sorting Mapping Tool. Multi-Station for 8" Wafers Comes with what you see in the pictures. If you don't ... moresee it. You probably wont get it. Specifications are from a third party and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. The unit was removed from service in working condition however there is no computer or UPS with the system. Because there is no computer and a lack of facility requirements. We do not have the expertise to formally test this item. The Main Unit's Serial Number Tag Reads: Model Number: CSMT-4 Serial Number: 96-156-01 Power Requirements: 120 VAC. 60 Hz, 15 A Fuse, 12 A The CSMT Tilt Arms and Scanner's Serial Number Tag Reads: Model Numbers: CSMT Tilt Arms Arm Serial Numbers: Qty. 4; 6193-06. 8061-06, 6193-08, 6193-10 Scanner Serial Numbers: Qty. 4; 6193-05(w/6193-06) 8061-05(w/8061-06) 6193-07(w/6193-08) 6193-09(w/6193-10) The Wafer Handling Robot's Serial Number Tag Reads: Model Number: WFH4C TT/LR/HS Serial Number: 96-156-01 The OCR's Serial Number Tag Reads: Model Number: OCR Serial Number: 96-156-01 The Vacuum Manifold's Serial Number Tag Reads: Model Number: Vacuum Manifold Serial Number: 96-156-01 The Touchscreen's Serial Number Tag Reads: Model Number: Touchscreen Serial Number: 01-826-01 Description: The Kensington CSMT-4 Compact Wafer Sorting Mapping Tool is a multi-station wafer handler& sorter for 200mm wafers with 4 stations. It is designed to map. Sort, merges, splits, and randomize. It has a OCR system and is cleanroom compatible(Exceeds Class 1) with it's electropolished stainless cabinet. The stages are tilting ergonomic carriers, and the system contains a non-contact optical wafer prealigner for flats/notches. There is a built-in touchscreen color graphical user interface and a vacuum failsafe backup. The system usually contains a UPS but it was removed. The Servo Positioners are Kensington built, model 4000D. For More Pictures Please Click the Follow
$
4500.00
Buy It Now
$2500.00 Shipping
Condition: Used
Location: 충주시, South Korea
USED RORZE ROBOTECH RV201-F05-009-2 SELL'AS IS' FREE SHIPPING TO WORLD WIDE*IMPORTANT* PLEASE CORRECT YOUR PHONE NUMBERS IN EBAY SHIPPING ADDRESS ... morePROFILE BEFORE YOU BIT THIS ITEM. OR LET US HAVE YOUR CONTACT NUMBERS VIA E-MAIL FOR EASY AND FASTER DELIVERY OF WINNING ITEM(S) FREE SHPPING AND HANDLING FEE. CONDITION Being sold as is. SHIPPING Winning bidderr will get free Shipping and Handling. Normally it will take less than 10 working days to deliver to winning bidder. PLEASE LET US KNOW YOUR CONTACT NUMBERS VIA E-MAIL FOR EASY AND QUICK DELIVERY. OR CHANGE YOUR PERSONAL INFORMATION BEFORE YOU BID. PAYMENT Pament must be received prior to shipping by PAYPAL ONLY. RETURN POLICY Due to the nature of electronic components we are not able to offer a warranty or accept returns. Thanks for visiting! CLICK HERE! TO VISIT OUR STORE TO SEE MORE INTERESTING ITEMS. Click Here. Double your traffic. Get Vendio Gallery- Now FREE! Powered by The free listing tool. List your items fast and easy and manage your active items. Powered by The free listing tool. List your items fast and easy and manage your active items. Powered by The free listing tool. List your items fast and easy and manage your active items.
$
1749.99
Buy It Now
$100.00 Shipping
Condition: New – Open box
Location: Lake Forest, United States
We do our best to describe everything.
$
49.29
Buy It Now
$89.53 Shipping
Condition: Used
Location: Leander, United States
Wafer Capacity: 25. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of this product. Original manufacturer's ... morewarranty does not apply. Manufacturer: Shin-Etsu.
$
325.00
Buy It Now
$18.50 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
EPB-8/200-22 Wafer Carrier. Parts are washed and rinsed in RO water, dried under HEPA filtered air, and bagged in cleanroom bags.
$
2501.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a Electroglas 4085X Horizon 200mm Wafer Prober System. ... moreRemoved from a Electroglas 4085X Horizon 200mm Wafer Prober System.
$
68.00
Buy It Now
$26.00 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
General specifications include: High Profile, Open Side Wall, Wide Flange, Cardholder, 25-Capacity.
$
5499.99
Buy It Now
Free Shipping
Condition: Used
Location: South Korea
Brooks MagnaTran7 300mm WAFER TRANSFER ROBOT ARM SET P/N 002-0016-34.MAG7 ARM Manufacture: BROOKS AUTOMATION Model MAG7 ARM SET.P/N 002-0016-34 Condition ... moreUSED Shipment-We will ship your order within 2 business days via post airmail. It will usually take 11-12 business days after shipment. Payment-We accept paypal or wire transfer(T/T) paymemt. Return Policy-We carefully inspect the item before shipment. In case you found a defect on the purchase.We will exchange or refund. Buyer pay all return item
$
1803.17
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
This Matrix System 10 is used untested surplus. Unit is missing cover screws and may be incomplete (see photos). The physical condition is good, but there ... moreare signs of previous use and handling. This item is being sold as-is.
$
601.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Electroglas 248057-001 Wafer Transfer Assembly is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... moreMotor Part No: 247110-001. Part No: 248057-001.
$
48.29
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Entegris SB300 25 Cap. Wafer Carrier Front Opening Full Pitch w/Robotic Flange. (1) Entegris SB300 25 Cap. Model: SB300. Manufacturer: Entegris. Wafer ... moreSize Compatibility: 300 mm. Includes Robotic Flange And Carrying Handles.
$
48.29
Buy It Now
$30.36 Shipping
Condition: Used
Location: Leander, United States
Model: PH9150 / H9150 / WWS150-B1. Manufacturer: Empak / Entegris / Texchem. 25-Slot Wafer Shield. For 150mm Wafers. CDN Systems LLC, DBA Doug Deals, ... moreis not an authorized distributor or affiliated with the manufacturer of this product.
$
307.18
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
POCO DE-18602 Carrier, Wafer, 150mm Cont, SuperSiC-3C New. This POCO DE-18602 is new other surplus. The physical condition is great. These products are ... moresubject to export restrictions under U.S. law.
$
185.00
Buy It Now
$18.59 Shipping
Condition: New – Open box
Location: Milpitas, United States
Farmon ID 414354. Fastcom Faulhaber Federal Pioneer Federal Products Co. Futaba Futurestar Galil Motion GaSonics Gast GasTech Gaston Gates GC Valves GD ... moreCalifornia GE Fanuc GE General Electric GE Industrial Services Gems Sensors GEMU General Monitors General Precision General Scanning Genie Industries Genmark Automation GenRad Genwac Georg Fischer Geotest Gespac GF Signet Glassman Glentek Global Laser Gluton West Go Inc.Gould GPI General Precision GPI General Precision Inc.
$
18525.00
Buy It Now
Condition: Used
Location: Goleta, United States
Brooks Automation Wafer Handling Robot w/ Power Supply and Cables USED (7008) R In great cosmetic condition. Removed from a very low hour 2012 Jet Atmospheric ... moreTransport System, we believe it was never used. (These Specifications were taken from an online Brooks Automation brochure and may not be accurate, we believe it is a Razor AWA Wafer Aligner made BUT for 450mm wafers). See pictures for more detail.
$
1249.99
Buy It Now
$220.74 Shipping
Condition: Used
Location: Phoenix, United States
Nikon NWL-640 Wafer Loader for 100 mm, 125 mm, and 150 mm Wafer Sizes. The units power up but we do not have expertise to test them in full. None of them ... morehave the wafer holder. Wafer Extraction Procedure: Designated pocket.
$
48.49
Buy It Now
$26.42 Shipping
Condition: Used
Location: Leander, United States
(1) Entegris HS200 Crystalpak 200mm Silicon Wafer Handling System FOUP. Model: HS200. Manufacturer: Entegris. The interior and cassettes are in good condition. ... moreWafer Spacing: 6.35mm. Overall Cassette Size.
$
1500.00
Buy It Now
$23.82 Shipping
Condition: Used
Location: Santa Ana, United States
$
6512.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Nikon 200mm Wafer Prealigner Assembly OPTISTATION 3 Incomplete No PCB is used working surplus. The physical condition is good, but there are signs ... moreof previous use and handling. Removed from a Nikon OPTISTATION 3A 200mm Automatic Wafer Inspection System.
$
24.99
Buy It Now
Free Shipping
Condition: New – Open box
Location: Fremont, United States
There’s no other parts, manuals, software included. Other Notes of Interest.
$
18.99
Buy It Now
$13.88 Shipping
Condition: Used
Location: Las Vegas, United States
H-SQUARE CO 3" WAFER PICKUP ROUND WAND SQ2 1942-4 SQ21942-4 ESD H2CO 75 MM. This lot is for oneH-Square Co 75 mm Round Wafer ESD Head and Wand. Wand - ... moreSQ21942-4. Cable with ESD ends installed. This unit will arrive in the condition shown.
$
750.00
Buy It Now
Free Shipping
Condition: New
Location: Fremont, United States
Porous ceramic vacuum chuck table made by semiXicon, the world renowned porous ceramic vacuum chuck maker. 1/8"NPT 1 vacuum connecting (Included). Different ... morepore size(20,30,40,60,80,100,120,150um etc) optional for your work piece High grade pure granite stone base as solid support.
$
49.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Manufacturer: Kakizaki. Wafer Slots: 25. Wafer Size Compatibility: 300 mm. Door: Twist Lock, KTL-3004A-2. CDN Systems LLC, DBA Doug Deals, is not an authorized ... moredistributor or affiliated with the manufacturer of this product.
$
210.00
Buy It Now
$8.70 Shipping
Condition: Used
Location: Lompoc, United States
ePAK ePB-8/200-25-R.6 200mm Teflon Wafer CarrierCassette. Condition is Used. Shipped with USPS Ground Advantage.
$
500.00
Buy It Now
$20.00 Shipping
Condition: Used
Location: San Jose, United States
For sale one rare good pair of 2 Theta Radial motor for Tencor Prometrix H2 robot handler pre-aligner . Item is tested , good working , like new .OEM ... morepart Applied Motion 5017-15 .Specs : Nema 17 (42x42mm) , 7.9VDC , 0.323A, 24.5 ohm , 400S/RThey are hard to find spare part for repair UV1050 UV1250 series Tencor Prometrix Wafer Thin film system H2 robot handler pre-aligner .
$
4980.00
Buy It Now
Free Shipping
Condition: New
Location: Fremont, United States
SEMIXICON---THE WAFER CHUCK TABLE EXPERT. PARALLELISM :3 MICRON. DETAILED DRAWING AVAILABLE.
$
699.00
1d 11h 28m 0s
0 bids
$929.00 Now
Condition: Used
Location: Bad Friedrichshall, Germany
The wafer thickness is 700µm. A front is highly polished, the back is matt.
$
34.95
Buy It Now
Free Shipping
Condition: Used
Location: Salt Lake City, United States
We strive to describe the item in detail and take multiple pictures, which can be enlarged. With this, we rarely have an issue with an item sent, however ... moremistakes may happen. PHYSICAL CONDITION :Very good condition, it is lightly dirty due regular use.
$
150.00
Buy It Now
$32.70 Shipping
Condition: Used
Location: Milpitas, United States
Farmon I.D.419905. IBM IC Electronics ICP Electronics Inc. ICS IDEC IDL Semiconductor IDX IEE IGC Polycold System Inc. Imtec Acculine IN USA INA InBus ... moreEngineering Industrial Computers Inficon Infranor Innova Electronics Integrated Circuit Dev.
$
499.99
Buy It Now
$13.99 Shipping
Condition: Used
Location: Santa Clara, United States
19A,,,,, NF FXD AT 1.460 Del 173.61,,,.
$
1800.00
Buy It Now
$38.91 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
578.23
Buy It Now
$149.00 Shipping
Condition: Seller refurbished
Location: Salt Lake City, United States
$
1208.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This KLA-Tencor 716-402608-07 is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from ... morea KLA-Tencor 5107 150mm Overlay Inspection System.
$
5550.56
Buy It Now
$22.46 Shipping
Condition: Used
Location: Buda, United States
Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. REQUIRED BY US LAW. We do repairs. (See Hours of Operation, above). Zhòngyào de! Rúguǒ méiyǒu ... morezhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù.
$
65.00
Buy It Now
$11.85 Shipping
Condition: Used
Location: Westminster, United States
Pak Wafer, Carrying Wafer Box 150mm / PAK eCT-LX6/150-4-44-R.1 silex Microsystem. Condition is Used. Shipped with USPS Priority Mail.
$
189.99
Buy It Now
$12.50 Shipping
Condition: Used
Location: Oklahoma City, United States
TAZMO E0R05-7586B Board for Semix 200mm wafer coater. Condition is Used. Shipped with USPS Priority Mail.
$
300.08
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Hitachi 2-816892 200mm Wafer Plate New. This Hitachi 200mm wafer holder is new surplus. It is in excellent physical condition. Serial numbers or country ... moreof manufacture may vary. These products are subject to export restrictions under U.S. law.
$
750.00
Buy It Now
$13.60 Shipping
Condition: New – Open box
Location: Milpitas, United States
Farmon I.D. 419148, 419149. AMAT 0020-02087 Rev.E, L & P Machine, Wafer Aligner, 100mm. IBM IC Electronics ICP Electronics Inc. ICS IDEC IDL Semiconductor ... moreIDX IEE IGC Polycold System Inc. Imtec Acculine IN USA INA InBus Engineering Industrial Computers Inficon Infranor Innova Electronics Integrated Circuit Dev.
$
303.08
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
This Entegris H9200-0023 is new surplus. Sold as a Lot of 8. The physical condition is great. Serial numbers or country of manufacture may vary. These ... moreproducts are subject to export restrictions under U.S. law.
$
150.00
Buy It Now
$18.59 Shipping
Condition: New
Location: Milpitas, United States
Fastcom Faulhaber Federal Pioneer Federal Products Co. Futaba Futurestar Galil Motion GaSonics Gast GasTech Gaston Gates GC Valves GD California GE Fanuc ... moreGE General Electric GE Industrial Services Gems Sensors GEMU General Monitors General Precision General Scanning Genie Industries Genmark Automation GenRad Genwac Georg Fischer Geotest Gespac GF Signet Glassman Glentek Global Laser Gluton West Go Inc.Gould GPI General Precision GPI General Precision Inc.
$
250.00
Buy It Now
$18.04 Shipping
Condition: New
Location: Milpitas, United States
Farmon ID 408861. AMAT 0150-76398 Cable Assy 300mm Wafer on blade, LLA. Fastcom Faulhaber Federal Pioneer Federal Products Co. Futaba Futurestar Galil ... moreMotion GaSonics Gast GasTech Gaston Gates GC Valves GD California GE Fanuc GE General Electric GE Industrial Services Gems Sensors GEMU General Monitors General Precision General Scanning Genie Industries Genmark Automation GenRad Genwac Georg Fischer Geotest Gespac GF Signet Glassman Glentek Global Laser Gluton West Go Inc.Gould GPI General Precision GPI General Precision Inc.
$
179.99
Buy It Now
$17.89 Shipping
Condition: New
Location: Santa Clara, United States
New quartz boats for silicon wafers for furnace 25 slot wafers,,,,.
$
362.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection ... moreSystem. Part No: Linear Rail Wafer Transport. Serial numbers or country of manufacture may vary.
$
40.00
Buy It Now
$60.00 Shipping
Condition: New – Open box
Location: South Korea
$
25.00
Buy It Now
$12.00 Shipping
Condition: New
Location: Fremont, United States
Manufacturer: Pozzetta Products Inc. QTY Available: 14 pcs.
$
44.99
Buy It Now
$30.36 Shipping
Condition: Used
Location: Leander, United States
(1) Empak H4200-02 25-Capacity 200mm Wafer Carrier. Manufacturer: Empak. Wafer Size: 200mm. Capacity: 25 Wafers. Notes:The locks open and close securely. ... moreCDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of this product.
$
225.00
Buy It Now
$89.80 Shipping
Condition: Used
Location: Corvallis, United States
Vacuum wafer chuck --integral parts for the Signatone S-450 Semi-Automatic Probe Station.
$
653.78
Buy It Now
$6.49 Shipping
Condition: New – Open box
Location: Buda, United States
Your Source for Spares & Repairs. (See Hours of Operation, above). Novellus C-1.
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
 In