In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
65.00
Buy It Now
$47.53 Shipping
Condition: New
Location: Morgan Hill, United States
It is subject to prior sale without notice.
$
6.00
Buy It Now
$47.53 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
It is subject to prior sale without notice.
$
950.00
Buy It Now
$25.74 Shipping
Condition: Used
Location: Morgan Hill, United States
They are subject to prior sale. It is subject to prior sale without notice.
$
1150.00
Buy It Now
$25.74 Shipping
Condition: Used
Location: Morgan Hill, United States
They are subject to prior sale. It is subject to prior sale without notice.
$
325.00
Buy It Now
$47.53 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
It is subject to prior sale without notice.
$
750.00
Buy It Now
$47.53 Shipping
Condition: Used
Location: Morgan Hill, United States
$
1650.00
Buy It Now
$169.03 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
It is subject to prior sale without notice.
$
125.00
Buy It Now
$47.53 Shipping
Condition: Used
Location: Morgan Hill, United States
It is subject to prior sale without notice.
$
16500.00
Buy It Now
$266.41 Shipping
Condition: Used
Location: Morgan Hill, United States
It is subject to prior sale without notice.
$
14500.00
Buy It Now
$266.41 Shipping
Condition: Used
Location: Morgan Hill, United States
For Tegal 901e Tegal 903e Plasma Etcher. Condition: Used. Tested, working.
$
15000.00
Buy It Now
$10000.00 Shipping
Condition: Seller refurbished
Location: South Korea
0010-13804 ASSEMBLY MONITOR BASE STAND ALONE. 0010-13793 ASSY SMIF INTERCONNECT PCB BOARD. 0010-13792 ASSY, 300MM CORROSION RESISTANT, ROBOT W. 0010-13791 ... moreASSEMBLY STD 300MM ROBOT WRIST. 0010-13776 ASSM,RODLESS DOOR SHORT CYLINDER Y AXIS.
$
180.00
Buy It Now
$47.53 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
It is subject to prior sale without notice.
$
950.00
Buy It Now
$25.74 Shipping
Condition: Used
Location: Morgan Hill, United States
They are subject to prior sale. It is subject to prior sale without notice.
$
450.00
Buy It Now
$40.83 Shipping
Condition: New
Location: Morgan Hill, United States
Fitting for vacuum gauge. Photos are only for reference.
$
450.00
Buy It Now
$40.83 Shipping
Condition: New
Location: Morgan Hill, United States
Photos are only for reference.
$
174.99
Buy It Now
Free Shipping
Condition: New – Open box
Location: Phoenix, United States
Specifications are from Integrated Power Designs and may vary slightly due to upgrades, options, or revisions this unit may or may not have. Output Power ... moreInput Power: 100-240 VAC, 4 A, 50-60 Hz. If you don't see it, you probably won't get it.
$
795.00
Buy It Now
$38.91 Shipping
Condition: New
Location: Morgan Hill, United States
054-0009-01 Quartz Fitting For Branson IPC 3000, 2000, 4000 Series Plasma AsherCondition: NewLead time: 1-6 weeksPhotos are only for reference.
$
950.00
Buy It Now
$25.74 Shipping
Condition: Used
Location: Morgan Hill, United States
They are subject to prior sale. It is subject to prior sale without notice.
$
950.00
Buy It Now
$25.74 Shipping
Condition: Used
Location: Morgan Hill, United States
They are subject to prior sale. It is subject to prior sale without notice.
$
201.08
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Lam Research 810-17059-1 is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from ... morea Lam Research 4428b Rainbow Plasma Etcher System.
$
362.15
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi CHT120-1 is used working surplus. The physical condition is great, but there are signs of previous use and handling. Removed from a Hitachi ... moreM-511E Microwave Plasma Etching System. These products are subject to export restrictions under U.S. law.
$
499.99
Buy It Now
$52.29 Shipping
Condition: For parts or not working
Location: Phoenix, United States
This ring is in good condition. (1) Are you the end-user of this item?. If you don't see it, you probably won't get it.
$
500.00
Buy It Now
$53.96 Shipping
Condition: Used
Location: Morgan Hill, United States
The price is for one.
$
8500.00
Buy It Now
Condition: For parts or not working
Location: Phoenix, United States
Specifications are from Thermo Neslab and may vary slightly due to upgrades, options, or revisions this unit may or may not have. A 6 kilowatt heater ... moreis located in the fluid recirculating system. Model: STEELHEAD 0.
$
500.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
Plasma Etcher. The price is for one.
$
312.15
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi HT94223B is used working surplus. The physical condition is great, but there are signs of previous use and handling. Removed from a Hitachi ... moreM-511E Microwave Plasma Etching System. Part No: HT94223B.
$
612.15
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi RYX-2 is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from a Hitachi M-511E ... moreMicrowave Plasma Etching System. Removed from a Hitachi M-511E Microwave Plasma Etching System.
$
500.00
Buy It Now
$53.96 Shipping
Condition: Used
Location: Morgan Hill, United States
The price is for one.
$
600.00
Buy It Now
Free Shipping
Condition: Used
Location: Ann Arbor, United States
We have years of experience and use only the best of materials to ensure you get exactly what you ordered. No hassles, no worries. Lift Gate Service The ... moretruck driver will place your order on the ground, and you are responsible for bringing it inside.
$
205.15
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary. These products are ... moresubject to export restrictions under U.S. law.
$
4499.99
Buy It Now
Condition: Used
Location: Phoenix, United States
Comdel CX-2500/6.78 MHz 2500 W RF Generator, PN: FP3368R1. Part Number: FP3368R1. Specifications are from Comdel and may vary slightly due to upgrades, ... moreoptions, or revisions this unit may or may not have.
$
308.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: 010250C1. This Watlow Columbia 010250C1 Ring Support Heater is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. Removed from a Lam Research 4420 Rainbow Plasma Etcher System.
$
312.15
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi 201M1 Vpp RF Cable is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from ... morea Hitachi M-511E Plasma Etching System. Part No: 201M1.
$
312.15
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi 201M2 Vpp RF Cable is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from ... morea Hitachi M-511E Plasma Etching System. Part No: 201M2.
$
351.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary. These products are ... moresubject to export restrictions under U.S. law.
$
299.99
Buy It Now
Free Shipping
Condition: Used
Location: Phoenix, United States
Click Here. Double your traffic. Get Vendio Gallery- Now FREE! BOC Edwards 658 Barocel Pressure Sensor/Transducer Capacitance Manometer Comes with what ... moreyou see in the pictures. If you don't see it. You probably wont get it. Specifications are from BOC Edwards and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. We do not have anyway to formally test this unit so we are selling it as-is. The Unit's Serial Number Tag Reads: Type: 658 TRANS. 1TR W/RT ANG BELLOWS Range: 1 TORR Serial Number: 7102772 Reorder Number: K658A009 Brief Description: The 658 manometer is ideal for use in vacuum systems where accuracy zero stability and resistance to process contamination are critical to successful operation. Only Inconel and Monel surfaces are exposed to the process gases and all welded joints are designed to permit rapid degassing. There is no loss of accuracy due to changes in gas composition or the presence of electrically conductive gases. Features and Benefits: Wide measuring range– full four decade measurement. High accuracy– 0.15% of reading. High resolution– better than 0.005% of FS. Temperature controlled– internal temperature maintained at 100°C; improved zero stability: better than 0.002% FS/ °C. True total pressure– calibration and measurement independent of gas composition. High level dc output– 0 to 10 volts dc full scale. Linear with pressure. Applications: Plasma Deposition/Etch Sputtering/PVD/RTP Industrial CVD/Ion Implantation LPCVD Crystal growing/freeze dryers, Specifications: Pressure Range: 0 to 1 Torr Accuracy: 0.15% of reading + 0.005% of full scale Repeatability: ±0.01% of full scale Resolution: 0.005% of full scale Warm-up Time: 2 hours Control Temperature: 100°C Ambient Operating Temperature: 15- 70°C Storage Temperature: 45- 85°C Temperature Effect on Zero: Ambient Condition Effect on Sensitivity: Temperature: Barometric Pressure: Relative Humidity(0- 95% RH) Overpressure Limit: 3.1 bar Internal Volume: 8.33 cm3(includes
$
395.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Portland, United States
White- 80. Greene Tweet MFG Cure Date: 05/ 25/2017. Rapid thermal processing (RTP). Implant anneal. Ion implant. Dry ashing. Dry plasma etch. Oxidation ... more(LPCVD).
$
7900.00
Buy It Now
$124.65 Shipping
Condition: New – Open box
Location: San Jose, United States
(NEW Applied Material/AMAT 0041-49935 REV 01 Faceplate, Coated, Direct Cooled OPEN BOX! I don't see any sign of use.no plasma etching, no carbon build ... moreup and no scratches in the work area :-)The certificate of compliance paper is missing.
$
202.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Lam Research 810-17061-1 is used working surplus. The physical condition is good but there are signs of previous use and handling. Removed from a ... moreLam Research 4428b Rainbow Plasma Etcher System.
$
4550.00
Buy It Now
$166.16 Shipping
Condition: Used
Location: Morgan Hill, United States
Tegal Gas DLVY CG1145-01801 W/ UFC-8100 He 200 SCCM, UFC-1660 SF6 15 SCCM, UFC-1100A cHF3 50 sccm, N2 15 sccm MFC ,901e 903e plasma etching etcher. Located ... moreat A. ID: AWR-040-AA-003.
$
410.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi A008-2 is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from a Hitachi ... moreM-511E Microwave Plasma Etching System. Removed from a Hitachi M-511E Microwave Plasma Etching System.
$
806.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a Plasma-Therm SLR 770/770MF Plasma Etching System. Serial ... morenumbers or country of manufacture may vary.
$
410.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi RYB308N-1 is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from a Hitachi ... moreM-511E Microwave Plasma Etching System. Removed from a Hitachi M-511E Microwave Plasma Etching System.
$
512.15
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi 201A1 RF Cable is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from a ... moreHitachi M-511E Microwave Plasma Etching System.
$
500.00
Buy It Now
$80.00 Shipping
Condition: New
Location: South Korea
MAKER : CKD. Parts Sourcing(Generator, Pump, Heater etc.). High Performance Cleaning System(remote plasma cleaning). CVD &Etch System Refurbish(AMAT, ... moreNovellus, WJ etc.).
$
300.00
Buy It Now
$80.00 Shipping
Condition: Used
Location: South Korea
Descriptions. MAKER: VAT Model: 01032-KE21-0001/ 0020 VAT GATE VALVE Condition: Used As-Is/ Where-is Payments. Payment can be made with paypal. Our PayPal ... moreAccount NO: judy@systa.kr Shipments We'll be able to shipment by worldwide. We will ship your order within 2-3 business days. It will usually take 5~7 business days after shipment If you have account of company. We can send by your account. FedEx. UPS, EMS, DHL etc. Contact Point 9 am to 5: 30 Pm UTC+9 monday to Friday( Closed on Weekends and public holidays) About Us Our company SYSTA has been established in 2002 and provides the superior engineering service and the services regarding used equipment and parts. Our network and excellent engineer are all ready to provide customers with the speedy. Reliable and qualified engineering services. CVD&Etch System Refurbish(AMAT. Novellus, WJ etc. High Performance Cleaning System(remote plasma cleaning) Parts Sourcing(Generator. Pump, Heater etc. Contact us SYSTA Co. Ltd. 569-2 Oesammi-dong. Osan-si, Gyeonggi-do, Korea( 447-230) T) 82-31-377-7520(F) 82-31-377-7521(Mail) sales@systa.kr(Web) www.systa.kr
$
512.15
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi 201A2 RF Cable is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from a ... moreHitachi M-511E Microwave Plasma Etching System.
$
220.00
Buy It Now
$50.00 Shipping
Condition: Used
Location: South Korea
Descriptions. Maker: FESTO MODEL: CPV10-GE-MP-8 FESTO CPV10-GE-MP-8 SOLENOID VALVE. 18255 T607 CPV10-VI ASY Condition: Used/ As-Is Payments Payment can ... morebe made with paypal. Our PayPal Account NO: judy@systa.kr Shipments We'll be able to shipment by worldwide. We will ship your order within 2-3 business days. It will usually take 5~7 business days after shipment This item is not included the freight costs. so We will send an invoice with the freight costs or If you have account of company. We can send by your account. FedEx. UPS, EMS, DHL etc. Contact Point 9 am to 5: 30 Pm UTC+9 monday to Friday( Closed on Weekends and public holidays) About Us Our company SYSTA has been established in 2002 and provides the superior engineering service and the services regarding used equipment and parts. Our network and excellent engineer are all ready to provide customers with the speedy. Reliable and qualified engineering services. CVD&Etch System Refurbish(AMAT. Novellus, WJ etc. High Performance Cleaning System(remote plasma cleaning) Parts Sourcing(Generator. Pump, Heater etc. Contact us SYSTA Co. Ltd. 569-2 Oesammi-dong. Osan-si, Gyeonggi-do, Korea( 447-230) T) 82-31-377-7520(F) 82-31-377-7521(Mail) sales@systa.kr(Web) www.systa.kr
$
129000.00
Buy It Now
Condition: Used
Location: Carpinteria, United States
The Fusion Microlite 200PC Photostabilization system uses a special process to harden and impact high-temperature stability to photoresist materials on ... moresemiconductor wafers. Photostabilized wafers are capable of withstanding high temperature hard baking.
$
225.00
Buy It Now
$18.40 Shipping
Condition: New – Open box
Location: Carpinteria, United States
Tegal 81-007-138. System was de-installed in working operational condition. WARRANTY IS NULL VOID IF ANY ITEM IS TAMPERED WITH, OPENED OR DISASSEMBLED. ... moreIF THERE ARE ANY PROBLEMS WITH THE ITEM DO NOT TRY TO REPAIR AND INVESTIGATE.
$
79000.00
Buy It Now
Condition: For parts or not working
Location: Carpinteria, United States
The Surface Technology Systems Multiplex range of plasma systems combine a single wafer or batch vacuum load lock with STS etch plasma source to produce ... morea platform of unrivaled quality and reliability for both R&D and pilot-production applications.
$
79000.00
Buy It Now
Condition: For parts or not working
Location: Carpinteria, United States
Surface Technology Systems (STS) Multiplex Reactive Ion Etcher (RIE) 01 The Surface Technology Systems Multiplex range of plasma systems combine a single ... morewafer or batch vacuum load lock with STS etch plasma source to produce a platform of unrivaled quality and reliability for both R&D and pilot-production applications.
$
12500.00
Buy It Now
Condition: Used
Location: Morgan Hill, United States
Plasma Etch BT1We sell it at as is where is. Buyer is responsible for crating and shipping. Complete, working or refurbished condition are optional at ... moreextra costs Chamber 21x22x21 inch; O2,Ar, 600w , 13.56MHz* RF: SEREN R600* RF matching: ASTECH ATH-50* PC controller* EPSON LX-300 printer* ELO LCD monitor Complete,working, functional test is optional at extra $15,000.00 each.Warranty: N/AReturn: N/AID: SS380-7
$
79500.00
Buy It Now
Condition: Used
Location: Morgan Hill, United States
Model: 790 10 RIE / PE MN Fr, Serial# PTI78289. 4 Plasmatherm SLR 720 RIE Etcher Plasmatherm 720. 5 SAMCO RIE 10 NR. 17 Tegal 903e Dry Etch Plasma Etch ... moreRIE. 8 SPTS STS Surface Technology SystemsMultiplex ICP HRM Plasma Etcher.
$
38000.00
Buy It Now
Condition: Used
Location: Morgan Hill, United States
Category : Etch RIE ICP and PECVD. Model : Plasma Therm 700 Series Wafer Batch Plasma Etcher Deposition. Warranty : No warranty for the AS IS,WHERE IS ... morecondition. 3 months for the Refurbished and fully tested condition.
$
175000.00
Buy It Now
Condition: Seller refurbished
Location: Morgan Hill, United States
Model: Lam AutoEtch 590. 1.1 The Lam Research Corporation (LRC) Lam AutoEtch590 is an in-Line cassette to cassette, fully automated, single wafer, double ... moreair-locked, parallel plate plasma etching system.
$
12500.00
Buy It Now
Condition: Used
Location: Morgan Hill, United States
Plasma Etch BT1We sell it at as is where is. Buyer is responsible for crating and shipping. Complete, working or refurbished condition are optional at ... moreextra costs Chamber 21x22x21 inch; O2,Ar, 600w , 13.56MHzWarranty: N/AReturn: N/AID: F22 and SS8863
$
12500.00
Buy It Now
Condition: Used
Location: Morgan Hill, United States
Plasma Etch PE-100 Plasma Asher Etcher ID-D380-022Chamber size:12x12x12 inchWe sell it at as is where is .Complete, working or refurbished condition are ... moreoptional at extra costs Warranty: N/AReturn: N/A
$
9500.00
Buy It Now
Condition: For parts or not working
Location: Morgan Hill, United States
$
75000.00
Buy It Now
Condition: Used
Location: Morgan Hill, United States
Plasma 901e Tegal Etcher With Manual. SOLD AS SEEN IN PICTURES! Not tested. Cassette to Cassette Poly/Nitride Plasma Etcher*Easy to use menu driven control*Input ... moregases controlled by MFC. Up to 4 MFC with system*Non-friction spatula wafer transport- Power requirement: 208 VAC 25A 1 PH- S/N: CU50134- Item# CU9000-50134-Volt: 200/208 VAC- Curr. 30 Amps- Freq. 50/60 Hz- Wires: 2+ ground- Wfg: 11/1999- m eter reads: 5081-RCTN Chamber item No. CC1106-01302 NOTE: We do not know the wafer size of the unit. If you know a way to tell. Please let us know. Specifics Below are off the internet: This is only to help. We do not know if this is exactly what we have. Please ask questions. Wafer Size Capacity: 3.4,5,6 inch Cassette To Cassette In-line Single Wafer Plasma Etcher.Capability to etch vias and contacts with anisotropic or sloped profiles.For etching silicon dioxide. Silicon nitrides, and polyimides.Can handle wafers from up to 6 in. Microprocessor control.208 V, 50/60 Hz. Tegal 901e series Plasma/RIE etch System are used by the Semiconductor Industry for integrated circuit fabrication. The system are used in one part of the sequence of manufacturing steps that transfer a pattern formed from a layer of photosensitive material, the photoresist, to a layer that makes up a permanent part of the final device. The process of defining a pattern with photoresist known as photolithography, while the etch process transfers the photoresist pattern to the permanent layer.Tegal 900 Series systems deliver highly reliable, repeatable results in etching a variety of films used to manufacture semiconductor, telecommunications and optoelectronics devices, flat panel displays and thin film magnetic heads.Tegal 901e,are designed around a production-proven wafer transport design that can accommodate 75 mm to 150 mm round silicon, GaAs, InP, and dielectric material substrates. The transport can also be configured to accommodate rectangular substrates up to 125 mm on a side. Typical Applications for Tegal 901e:1. Nitr
2 3 4 5 6 7 8 9 10 11 12 13
 In