In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
160.00
Buy It Now
$12.32 Shipping
Condition: Used
Location: Sacramento, United States
Don't miss out on the opportunity to take your operation to the next level with the March PLASMOD Plasma Etcher/Cleaner/Barrel Asher.
$
5900.00
Buy It Now
$350.00 Shipping
Condition: New
Location: Carson City, United States
Pump not included.
$
2499.00
Buy It Now
$1880.00 Shipping
Condition: Used
Location: Israel
2008 pva tepla TECHNICS 100 plasma system Asher System Etcher Cleaner + Pump. Downstream microwave frequency O2 plasma asher for photoresist descum. 2.45 ... moreGHz regulated magnetron, 0-300W power. Max Short-Current Circuit: 16 A.
$
4900.00
Buy It Now
$350.00 Shipping
Condition: New
Location: Carson City, United States
$
2850.00
Buy It Now
$148.60 Shipping
Condition: Used
Location: Pioneer, United States
$
41999.00
Buy It Now
Condition: Used
Location: Singapore
Type: Reactive Ion Etcher (RIE). Make: Trion Technology. Model: Phantom II. Advanced Energy 3155082-220 RF Generator (Qty:2). Unit Specifications. Pfeiffer ... moreTPH 521 P C PMP02861H Turbo Pump.
$
8860.99
Buy It Now
$246.81 Shipping
Condition: Used
Location: Canada
$
19250.00
Buy It Now
Condition: Used
Location: Longwood, United States
Plasma Etch BT-1/C Plasma Etcher / Plasma Cleaner. Includes vacuum pump. 70"x36”x32”Unit Weight.
$
115000.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
Capable of sequential and simultaneous deposition of up to two targets at once. Substrates are loaded automatically by the load lock robot. Manual operation ... morealso available. RF Plasma Cleaning for conditioning substrates prior to deposition.
$
2100.00
Buy It Now
$400.00 Shipping
Condition: For parts or not working
Location: Canada
Up for sale is a used Plasmionique FLR 300-TT Plasma Coater as can be seen in the pictures. This has been tested for power on ONLY, therefore, it's sold ... moreas-is, as-described. Nothing else is included.No vacuum pump is included.
$
650.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
1800.00
Buy It Now
Condition: Used
Location: Vista, United States
The Plasma Wand is a hand-held device that requires no external gas hookup; just plug it in and start cleaning! Perfect for small production facilities, ... moreresearch labs, universities, or anyone needing a small, hand-held plasma solution.
$
1800.00
Buy It Now
Condition: Used
Location: Vista, United States
The Plasma Wand is a hand-held device that requires no external gas hookup; just plug it in and start cleaning! Perfect for small production facilities, ... moreresearch labs, universities, or anyone needing a small, hand-held plasma solution.
$
850.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
2399.95
Buy It Now
$499.00 Shipping
Condition: For parts or not working
Location: Sacramento, United States
We work hard to include a lot of pictures of each item. It is also subject to change during peak periods.
$
1200.68
Buy It Now
Condition: Used
Location: Buda, United States
SN: 1220218,TW63024. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole ... moreJackson at getsparesllc. (See Hours of Operation, above).
$
60.00
Buy It Now
Free Shipping
Condition: New
Location: Kansas City, United States
This product is ideal for use in various processes, and its chemical properties ensure optimal performance.
$
199.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
P/N 330422550. PLASMA-THERM 700 PLASMA ETCHER PCB. LOGANSEMI 2547602424.
$
646.80
Buy It Now
$400.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Click here to see description.
$
3800.00
Buy It Now
$80.00 Shipping
Condition: New
Location: Carson City, United States
The Plasma Wand is a handheld device that requires no external gas hookup; just plug it in and start plasma cleaning! Plasma Wands are ideal for cleaning ... moreand plasma surface modification of large objects before bonding or printing.
$
60.00
Buy It Now
Free Shipping
Condition: New
Location: Kansas City, United States
The unit type of this product is gal, which makes it easy to use and handle. It is designed to enhance performance and ensure optimal results.
$
37750.00
Buy It Now
Condition: Seller refurbished
Location: Palisades Park, United States
March PX-1000 Plasma System Fully Refurbished with 6 Month Warranty Quick Shipment Possible. Also available with 1000 Watt RF Generator A Video of this ... moresystem in operation is available on request. The listed price is for a base unit consisting of: RFX600 RF Generator- 600 watts One set of Power and Ground Shelves. All standard system features. 2 MFC Controllers Options available include: 1000 Watt ACG10B RF Generator Additional Shelf Sets Additional MFC Controllers Vacuum pumps for Oxygen service. Or Hydrocarbon pumps for non- Oxygen Plasma processes. Vertical door system Please note: Other plasma systems are available. Including smaller March PX-500 and PX-250 systems, as well as Plasma Systems from other manufacturers. Over 50 Plasma Systems in inventory. For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for many years You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our facility is located in the metropolitan NYC area. Customers are welcome to visit for acceptance testing/inspection. Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount.
$
23500.00
Buy It Now
Condition: Used
Location: Palisades Park, United States
Plasma 901e Tegal Etcher With Manual. SOLD AS SEEN IN PICTURES! Not tested. Cassette to Cassette Poly/Nitride Plasma Etcher*Easy to use menu driven control*Input ... moregases controlled by MFC. Up to 4 MFC with system*Non-friction spatula wafer transport- Power requirement: 208 VAC 25A 1 PH- S/N: CU50134- Item# CU9000-50134-Volt: 200/208 VAC- Curr. 30 Amps- Freq. 50/60 Hz- Wires: 2+ ground- Wfg: 11/1999- m eter reads: 5081-RCTN Chamber item No. CC1106-01302 NOTE: We do not know the wafer size of the unit. If you know a way to tell. Please let us know. Specifics Below are off the internet: This is only to help. We do not know if this is exactly what we have. Please ask questions. Wafer Size Capacity: 3.4,5,6 inch Cassette To Cassette In-line Single Wafer Plasma Etcher.Capability to etch vias and contacts with anisotropic or sloped profiles.For etching silicon dioxide. Silicon nitrides, and polyimides.Can handle wafers from up to 6 in. Microprocessor control.208 V, 50/60 Hz. Tegal 901e series Plasma/RIE etch System are used by the Semiconductor Industry for integrated circuit fabrication. The system are used in one part of the sequence of manufacturing steps that transfer a pattern formed from a layer of photosensitive material, the photoresist, to a layer that makes up a permanent part of the final device. The process of defining a pattern with photoresist known as photolithography, while the etch process transfers the photoresist pattern to the permanent layer.Tegal 900 Series systems deliver highly reliable, repeatable results in etching a variety of films used to manufacture semiconductor, telecommunications and optoelectronics devices, flat panel displays and thin film magnetic heads.Tegal 901e,are designed around a production-proven wafer transport design that can accommodate 75 mm to 150 mm round silicon, GaAs, InP, and dielectric material substrates. The transport can also be configured to accommodate rectangular substrates up to 125 mm on a side. Typical Applications for Tegal 901e:1. Nitr
$
395.00
Buy It Now
Free Shipping
Condition: Used
Location: Rochester, United States
Tegal 99-165-003.
$
120.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Tegal Model 903e Plasma ETCHER Quartz Pins, Set of 6.
$
175.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Tegal Model 903e Plasma Etcher FRONT PANEL INTERFACE PCB. Logansemi 2547602424.
$
280.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Logan Technologies, LP 2547602424.
$
79999.00
Buy It Now
Condition: Used
Location: Singapore
Model: VERSALINE ICP PM #2. Type: ICP Etcher. All/ only parts/ components/ cables as shown.
$
4899.99
Buy It Now
$600.00 Shipping
Condition: Used
Location: Valencia, United States
Plasma Etch PE-200 Bench top plasma system Voltage: 120/208V , 60HzPhase 3 Current: 20Amps I offer free local pick up in Valencia, CA 91355 or freight ... moreshipping on pallet wrapped and secured to business location for flat fee of $600
$
425.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
450.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
LAM RESEARCH 590/490 LOAD / UNLOAD ARM. LOGANSEMI 2547602424.
$
9999.99
Buy It Now
$350.00 Shipping
Condition: Used
Location: Milton Freewater, United States
March PX-500 Plasma System Fully Refurbished with 6 Month Warranty It can be made ready for very quick shipment. The listed price is for a base unit consisting ... moreof: RF Generator- 600 watts- Typically an RFX 600 as seen in separate photo. Note. A 300 watt power supply is shown in the photo with the PX-500, but it will be replaced with a 600 watt unit. One set of Power and Ground Shelves. All standard system features. 2 Flowmeter Gas Controllers Options available include: Additional Shelf Sets Vacuum pumps for Oxygen service. Or Vacuum Pumps with Hydrocarbon fluid for non- Oxygen Plasma processes. Please note: Other plasma systems are available. Including larger March PX-1000 and smaller PX-250 systems, as well as Plasma Systems from other manufacturers. Over 50 Plasma Systems in inventory. For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for over 35 years. You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our 50,000 square foot facility is located in the metropolitan NYC area. Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount.
$
1800.00
Buy It Now
Condition: Used
Location: Vista, United States
The Plasma Wand is a hand-held device that requires no external gas hookup; just plug it in and start cleaning! Perfect for small production facilities, ... moreresearch labs, universities, or anyone needing a small, hand-held plasma solution.
$
160.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Tegal Model 903e Plasma ETCHER SST TEMPERATURE PROBE. LOGAN TECHNOLOGIES, LP 2547734070.
$
17499.99
Buy It Now
Condition: Used
Location: Fullerton, United States
Unable to test unit due to missing plug connector on power cable. Pictures are of the actual unit. Power cable is missing the end connector. The item ... moreis sold AS-IS Where is. We allow local pick up.
$
6375.00
Buy It Now
Condition: For parts or not working
Location: Bosque Farms, United States
For us to check rates, we need the following info: 1. We are quick to respond and resolve. We do not have power cords to provide unless they are attached ... moreto the item or unless stated that they are provided.
$
7250.00
Buy It Now
$250.00 Shipping
Condition: Used
Location: San Jose, United States
SPI Supplies Plasma Prep III Plasma Cleaner 110V Range With Controller, ManualsFor more information on the SPI website: https://www.2spi.com/category/plasma-prep-iii/
$
50.00
Buy It Now
$23.61 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Parts For TEGAL 901E 903E plasma etcherCondition: NewPhotos for all and the price is for allWarranty:N/AReturn policy: N/ALocation:DU-1-3-2-3
$
326.70
Buy It Now
$100.00 Shipping
Condition: Used
Location: Ventura, United States
Drytek S100 Wafer Power System w Jennings RB2A-26N00 Relay& Cardwell Parts(RF) Drytek S100 Wafer RF Power System w Jennings RB2A-26N00 Relay& ... moreCardwell Parts Jennings RB2A-26N00 Glass Relay 20kVDC Cardwell 229-207-2 Roller Inductor Coil Cardwell 154-3-1 Air Variable Capacitor QTY = 4: High Energy Corp. HECHT50 120pf Ceramic Capacitors QTY = 1: High Energy Corp. CRC850 Surge Suppressor Aluminum plate dimensions: 6.0" x 6.25" This unit was pulled from a Drytek 100S Plasma Wafer Etcher Overall dimensions: 18.5" x 17" x 13.5" 45 LBS, If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48459
$
850.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
LAM RESEARCH 590/490 EXIT LIFTER ASSEMBLY. Logan Technologies, LP 2547602424. LOGANSEMI 2547602424.
$
250.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
TEGAL 9XX 99-200-005 SIS-5.
$
100.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
TEGAL PLASMA ETCHER 9XX 99-246-003. Logan Technologies, LP 2547602424.
$
950.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
PLASMA-THERM 700 PLASMA ETCHER SBC COMPUTER PCB. Logan Technologies, LP 2547602424.
$
2007.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: P90PCI. This Micron P90PCI Operator Interface Computer PC Plasma-Therm SLR 770/770MF Spare is used working surplus. Removed from a Plasma-Therm ... moreSLR 770/770MF Plasma Etching System. The unit was powered on and booted to BIOS page but the unit would boot not further without a mouse.
$
25.00
Buy It Now
$40.91 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
7425.00
Buy It Now
$700.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Click here to see description.
$
225.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Tegal Model 903e / 901E SHUTTLE REBUILD KIT.
$
175.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Tegal 50590-01 PCB Plasma Etching is used untested surplus and is being sold as-is. The physical condition is fair, but there are signs of previous ... moreuse and handling. Serial numbers or country of manufacture may vary.
$
275.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
TEGAL PLASMA ETCHER 9XX 98-247-002, TSI. Logan Technologies, LP 2547602424.
$
350.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Tegal Model 903e Plasma Etche GAS INTERFACE PCB, ICG-5. 99-126-005 ICG-5 REV M.
$
57.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
TEGAL 9XX PCB. SECOND SOURCE SPI-98-223-002 REV A.
$
795.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
99-187-002B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DS-4-2-003Condition: Used. New? Not tested.We sell it at AS IS,WHERE IS without warranty.This ... moreItem is subject to prior sale without notice.
$
650.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
18548.75
Buy It Now
Condition: Seller refurbished
Location: North Billerica, United States
New. Never used, Branson IPC quartz chamber, removed from stock. Tube length 19.75 in. width 10.25 in. Overall length 21.25 in. Four gas ports. Posted ... morewith
$
250.00
Buy It Now
Condition: New – Open box
Location: Morgan Hill, United States
$
79000.00
Buy It Now
Condition: For parts or not working
Location: Carpinteria, United States
The Surface Technology Systems Multiplex range of plasma systems combine a single wafer or batch vacuum load lock with STS etch plasma source to produce ... morea platform of unrivaled quality and reliability for both R&D and pilot-production applications.
$
21500.00
Buy It Now
Condition: Used
Location: Freehold, United States
Batch system for plasma cleaning or etching. Not standard horizontal mounting. Two gas inputs. Can accommodate multiple shelves.
$
550.00
Buy It Now
$59.55 Shipping
Condition: Used
Location: Morgan Hill, United States
Wires Cables For Tegal 901e 903e Plasma Etcher Etching DQ-2-018All are in the photos.Condition: Used. Not tested.We sell it at AS IS,WHERE IS without ... morewarranty.This Item is subject to prior sale without notice.
$
9999.99
Buy It Now
$350.00 Shipping
Condition: Used
Location: Milton Freewater, United States
$
1200.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
LAM RESEARCH 590/490 LOAD / UNLOAD ARM / 2-EACH. REMOVED FROM REBUILT MACHINE THAT WAS NEVER INSTALLED. LOGANSEMI 2547602424.
1 2 3 4 5 6 7 8 9 10
 In 

Former Listings  
 
DryTek MegaStrip 6 HF Plasma Wafer Asher Etcher Photoresist Stripper w/Generator
Search our eBay Store! DryTek MegaStrip 6 HF Plasma Wafer Asher Etcher Photoresist Stripper w/Generator SKU: JV-NIC-C-MEGADRY Condition: Used Packaging: Pallet/Skid Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge New Page 1 DryTek MegaStrip 6 HF MS-6 Plasma Wafer Asher Etcher Photoresist Stripper w/13.56MHz RF Generator Listing Includes: 1x DryTek MegaStrip Asher Stripper Desum· Model: MS-6. Amps 30 A/Line. 208VAC· 60Hz. 1x DryTek Circuit Breaker Rackmount. 1x DryTek 13.56MHz RF Power Source Rackmount. 1x MKS Type 252A Exhaust Valve Controller Module 1x MKS PDR-C-IB Module 1x Optical Detector Module. 1x MKS Type 260 ... moreController Module. 1x MKS Type 261 Display Module. 1x 25-Slot Wafer Holder. Physical Condition: Good; Minor scuffs/scratches. One of the 25-slot wafer holders is chipped in the corner. Unit’s right side panel is loose. The front chamber door doesn’t stay closed. Unit has two tubes sticking out the back. Power cable is not included. We do not have the necessary resources to test this unit. Dimensions(L" x W" x H" 37 ¾* 29 ½* 65. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in
Nordson MARCH C-Series Plasma Treatment System, Vacuum Etcher: Aluminum Chamber
You are bidding on a Nordson MARCH C-Series plasma treatment system being sold as is. As pictured, with computer but no pumps, no power supplies. Made for large-scale industrial plasma treatment applications with 36x38x48 inch inside dimension aluminum holding chamber. PTB packs parcel shipments utilizing foam in place packaging with care. We ship heavy items(we draw the line at 100 lbs in most cases) boxed and strapped to a pallet. We will bill shipments to your shipping account or add into the invoice total. We accept returns if specified in the Return Policy otherwise they are sold as is with no return. We will refund or exchange an item after it is returned and the shipping paid by the buyer. We ship using FedEx. UPS or other requested agents but not the USPS. 626-334-0500 1331 Mountain View Circle Azusa. CA 91702.RcmdId ViewItemDescV4,RlogId ... morep4%60bo7%60jtb9%3Fuk.rp*3A%3Cd%3Ff6%3E-13e3446599a-0xf3-
YIELD ENG. YES-R3 ELECTRON FREE / RIE PLASMA ETCHER ASHER & COMDEL GENERATOR
YIELD ENG. YES-R3 ELECTRON FREE/ RIE PLASMA ETCHER ASHER& COMDEL GENERATOR Made in USA by Yield Engineering Systems. Inc. YES) of San Jose, California. YES Plasma Etcher Asher Cleaning System. Model# YES-R3. Serial# 88569. Includes Comdel Low Frequency Power Supply. Model# CLF500. Powers up and appears to be in good working order. Yield Engineering Systems. Inc. is known worldwide for supplying quality process equipment to Semiconductor and related industries, including MEMS, Medical, BioSensor, Microarray, Nanotech, Hard Disk Drive, and more. YES manufactures equipment for a variety of processes including vacuum curing. Plasma cleaning, resist removal, surface modification, anti-stiction coatings, silane chemical vapor deposition and other specialized applications. YES has proven to withstand the test of time with products that increase ... moreyields, extend performance, and improve processes. The R3 can be used in Active. Electron-Free or RIE modes. It is controlled by a Sequential Microprocessor which allows up to 90 separate,one or two gas programs to be inputted and stored in memory. Two Plasma Gas Inputs are provided as standard with an optional third plasma gas input. A Backfill Input is provided as standard. Facts. Features, and Specifications: 325 Square Inch Capacity Plasma Uniformity across Planar Sample Shelves 12" x 12" Sample Trays Operating Settings are Independent of Load Digital Programmable Process Control. Capacitive. Parallel Plate, Downstream, Charge-Free Plasma. Power: 115V/ 60htz 1.5 amps 175 watts Overall Dimensions are 22" x 24" x 29" tall. Approximate UNcrated Weight: 250 lbs. This item will need to be crated for shipping. For Crating. You can call Tim at Double J Packaging. 818-767-7756. For palletizing, crating& shipping cost. There will be no loading fee. High bidder is responsible for all freight cost, third party company charges, and is to arrange shipping with carrier of choice. You can contact Tony at FreightQuote 1-800-323-5441 Ext. 1383. PLEASE NOTE: Un
March Plasmod Plasma Asher/Etcher, MPS 300 RF Generator
March Plasmod MPS 300 RF Generator S/n 7106 300 watts@ 13.56 Mhz. Powers up. But NO RF output. Being sold as a parts unit. Quoted shipping price is for lower 48 US states only. Questions? 254-760-2424
Drytek DRIE-100 Cassette Planar Plasma Wafer Etcher System
Drytek DRIE-100 Cassette Planar Plasma Wafer Etcher System Description Drytek DRIE-100 Cassette Planar Plasma Wafer Etcher System Uses chlorine- and fluorine-based chemistries for etching various Si. Polysilicon, nitride, tungsten, tungsten silicide films.+ Low energy etching for minimal substrate damage. Excellent selectivity. E.g. 20:1 poly Si:SiO2 Laser interferometer for etch rate determination and end-point detection. Leybold-Heraeus D60A Trivac Rotary Vane Vacuum Pump w/Breaker Box available for unit Various Accessories& Wafer Holders Included Complete Manuals Included Leybold-Heraeus D60A Trivac Rotary Vane Vacuum Pump Available $1995 Manufacturer Drytek Manufacturer Part Number DRIE-100 Item/Ship Weight 1370 LBS Tech Condition This item has not been fully tested and is being sold as is. This item was pulled from a high end cellular ... moreequipment manufacturer and appears to be in good condition. It is sold"as is" with no warranty. Only those manual or peripherals are included. It can be previewed at our facility in Ventura. CA during business hours 8am to 5pm M-F Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 19334 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations
Technics PE II Planar Etch II Plasma Etcher Asher with 13.56 MHz RF Generator
Technics PE II Planar Etch II Plasma Etcher Asher with 13.56 MHz RF Generator Description Technics PE II Planar Etch II Plasma Etcher Asher with 13.56 MHz Model 750 RF Plasma Generator. The Technics PE-II is a plasma-induced etcher that can be used for photoresist ashing. Surface cleaning, and surface treatment and/or etching of various materials. This is equipped with a RF energy power supply(13.56 MHz) and is used with process gas. The RF power is variable allowing the operator to tailor the plasma density to suit the etching requirements. The system is equipped with a heater and thermocouple to monitor the temperature. This item requires freight shipping please contact us for a quote prior to purchasing. Manufacturer Technics Manufacturer Part Number PE II Item/Ship Weight 153 LBS Tech Condition This item is used and has been tested to ... morebe in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item may require palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 44235 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc.
Tegal 803 Inline Automatic Wafer RF Plasma Etcher As Is for Parts or Repair
Tegal 803 Inline Automatic Wafer RF Plasma Etcher As Is for Parts or Repair Description Tegal 803 Inline Automatic Wafer RF Plasma Etcher As Is for Parts or Repair RF Power Supply Intact Cover removed in photos to show component views. This tool is heavy. Nearly 400 pounds, and will require freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Manufacturer Part Number 803 Item/Ship Weight 370 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended ... morepart numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42618 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showin
Tegal Corp 803 Inline Automatic Wafer RF Plasma Etcher Untested As Is
Tegal Corp 803 Inline Automatic Wafer RF Plasma Etcher Untested As Is Description Tegal Corporation 803 Inline Inline Automatic Wafter RF Plasma Etcher Untested As Is Many Useful Parts Cover removed in photos to show component views. This tool is heavy. Nearly 400 pounds, and will require freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Corp Manufacturer Part Number 803 Item/Ship Weight 350 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. ... moreextended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42622 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still sho
Tegal 701 Plasma Inline Etcher As Is Parts or Repair
Tegal 701 Plasma Inline Etcher As Is Parts or Repair Description Tegal 701 Plasma Inline Etcher As Is Parts or Repair This item requires freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Manufacturer Part Number 701 Item/Ship Weight 360 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any ... morequestions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42624 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package is too large to qualify. As such. We may need to contact you before shipping to make an adjustment to a different me
Tegal Corp. 701 Plasma Inline Etcher As Is for Parts
Tegal Corp. 701 Plasma Inline Etcher As Is for Parts Description Tegal Corp. 701 Plasma Inline Etcher As Is for Parts This item requires freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Corp. Manufacturer Part Number 701 Item/Ship Weight 320 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you ... morehave any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42625 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package is too large to qualify. As such. We may need to contact you before shipping to make an adjustment to a differ
ALL PLASMA SYSTEM LARGE APS PLASMA ETCHER MACHINE B1
ALL PLASMA SYSTEM LARGE APS PLASMA ETCHER MACHINE B1 Search ALL PLASMA SYSTEM APS LARGE PLASMA ETCHER MACHINE PLEASE NOTE THAT THIS UNIT IS STILL IN USE FOR PRODUCTION. MODEL: B1 SERIAL NUMBER: 34 VOLTAGE: 208 FREQUENCY: 60 AMP: 80 MANUFACTURED BY: ADVANCED PLASMA SYSTEMS PLEASE NOTE: THIS UNIT COMES WITH COMPUTER FOR USE WITH MACHINE CHAMBER OPENING DIMENSION: 28" X 36" X 30 OVERALL DIMENSIONS: 65" x 40" x 85" tall. APPROXIMATE WEIGHT: 3000 LBS. There will be no loading fee. Please contact Tim at Double J Packiging. 818-767-7756, for palletizing, crating& shipping cost. Shipping weight 3000 lbs(WAREHOUSE) PLASMA ETCHER) 04262013) WE VALUE OUR CUSTOMERS IF ANY DISCREPANCY WITH DESCRIPTION PLEASE INFORM US. Comes as shown in photos PLEASE NOTE: Unit MUST be removed from our facility within one week of end of auction. ... moreSales Tax will apply to items sold to buyers who take delivery in California. We will send this unit via UPS. We do not charge handling fees; high bidder pays actual shipping charges. Item may be picked up from Northridge location. Be sure to check out my other listings on Ebay. Item will immediately be re-listed if payment is not received within 5 working days. Please contact seller if for any reason payment cannot be made on time. Check out the photos provided. Thank you for looking and Good Luck! Payment is due within 5 working days from end of auction. Please refer to the shipping instructions on the auction page. All items are surplus and are sold in an as is condition. We do our best to be as clear and accurate in our descriptions. Industrial Odds& Ends does not imply any warranty or guarantee, unless specified. Thank you for your business. Company Motto: Pay Til It Hurts" Industrial Odds& Ends 18151 Napa St. Northridge CA 91325 Phone: 818) 267-8074
Branson/ IPC 3000 EOP/Press Plasma Etcher Control Board
You Are Bidding On A Branson/ IPC EOP/Press Controller Board for Plasma Etching System. G/IPC 16348-01 EOP#1 BD It is used and in Very good Condition. Powered by The free listing tool. List your items fast and easy and manage your active items.
Diener Gala Instrumente Low Pressure Plasma Prep 5 Reactor Barrel Asher Etcher
All domestic(USA) and international customers welcome to bid(i.e. Canada. Europe, Australia, New Zealand, Taiwan, Japan, Korea and China) Excellent/Very Good Condition! Here's an opportunity to acquire a rare/hard-to-find Gala Instrumente Diener Electronic Plasma Prep 5 Low Pressure Plasma barrel asher in great cosmetic/working condition. Performance and capability specifications include: Reactor dimensions: depth 12.4" 315mm) Diameter 5.9" 150mm) Reactor volume: 300 in³(5 l) Reactor material: Stainless steel Fre quency generator: 200W Working pressure: 0.1- 0.6 mbar Internal electrode: aluminum sheet(included with unit along with tray) manual operation Does not include roughing pump(recommended pumping speed of 5m³/h on 2-stage pump) Includes user manual. Power cord and vacuum line(110V operation) Note: Unit has minor ... morecosmetic blemishes commensurate with light usage(please refer to pictures) For more details on low pressure plasma systems. Please use the following link: er Plasma brochure Shipping will be complimentary/free ONLY within continental USA. International Customers: Please inquire about shipping costs before purchase. International shipping charges will vary according to destination and requested service level. Customers are responsible for any customs related fees/taxes. Payment: We accept PayPal. Major credit cards(VI, MC and AMEX) both domestic/international wire transfers and PO's(i.e. purchase orders. NOTE: PO's are accepted ONLY from major accredited American Universities. Major US-based corporations(i.e. Fortune 1000, US Government Research Laboratories(i.e. US Naval Research Labs, JPL) and Agencies(i.e. NASA, DOE. Please contact us in advance if your institution falls into one of the categories outlined and need documentation, formal quote, company info(etc. to process PO. Note: California customers will be assessed sales tax unless a resale certificate is provided- Sorry. No choice in the matter. Please allow us to invoice you the exact amount prior to sending
AUTOMATED PLASMA CIRUCIT BOARD PLASMA ETCHER
Automated Plasma. Inc. In Pyramid Cabinet. Front Loading, Six Panel Self Contained Plasma Etching System With ENI RF Power Supply and Vacuum Pump. UNTESTED AS IS Powered by The free listing tool. List your items fast and easy and manage your active items.
Drytek/Lam 384T Plasma Etcher RF Auto Match, P/N 600768
Drytek/ Lam Research 384T. 13.56MHZ RF Automatch Network. P/N 600768 One N type RF input connection and two LC outputs. It will ship Fedx ground. So please provide a phone# Shipping price quoted is for lower 48 states US Customers only. We'll ship Worldwide. But it's FOB our dock and buyer must pay all shipping cost. Call Logan Technologies. LP 254-773-4070
Tegal 803 Wafer RF Plasma Etcher (as is - condition unknown)
Condition of this machine is unknown. No testing was performed prior t o listing. Item is selling AS IS with no returns. B uyer is responsible for shipping arrangements and payments necessary. Cart is not included in this listing. Contact se ller for any questions regarding the condition of the machine or any other questions. Length: 45" Width: 22" Depth: 30"
PYREX OUTER CHAMBER - REACTION CHAMBER- PLASMA ETCHER - # 11009
This is a NEW Pyrex OUTER chamber for plasma etching. I believe this is Pyrex#1100 9. Please confirm this yourself by examining the photograph to make sure it is what you need before bidding. Retail at Structure Probe is over $700- buy now and save. See my 100% POSITIVE feedback- Bid with Confidence.
March PX-250 Plasma System / PX250 / Plasma Asher / Etcher / Refurbished/Wrty
Please contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com(201) 592-1864 March PX-250 Plasma System Fully Refurbished with 6 Month Warranty Quick shipment available. A Video of this system in operation will be provided prior to shipment. Video of other March systems in operation after refurbishment are available for viewing. The listed price is for a base unit consisting of: 3 Shelf Configuration Dual Flowraters for gas All standard system features. 300 or 600 watt RF Generator Options available include: Vacuum pumps for Oxygen service. Or Hydrocarbon pumps for non- Oxygen Plasma processes. Please note: Other plasma systems are available. Including larger March PX-500 and PX-1000 systems, as well as Plasma Systems from other manufacturers. Over 50 Plasma Systems in inventory. For your reference. ... moreThe seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for many years. You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our refurbishment facility is located in the metropolitan NYC area. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount.
LFE Plasma Systems Etching Etcher System PFS/PDE/PDF/501
Fiber Optic Tools and Supplies BUY | SELL | TRADE surpluseq.com Description, LFE Plasma Systems Etching Etcher System PFS/PDE/PDF/501 Used LFE Plasma Systems Etching Etcher System PFS/PDE/PDF/501 Product Type: Type@ Model/Part No:PFS/PDE/PDF/501 Our Item ID: 251438 Description Specific Details: Used LFE Plasma Systems Etching Etcher System PFS/PDE/PDF/501. It has no vaccum pump and no RF-Generator. Kindly contact us if you have questions on this item. Actual item shown. Sold as pictured. Condition: Product Type: Used Terms of sales: Sold As-Is Physical Condition: Good Working Condition: Basic Functions Tested 250.00 | 40.00 x 42.00 x 41.00 | 0. Manufacturer"s Product Information: Description: Specific Details: | | | Contact, Mailing Address: SurplusEQ 329 W. Melinda Ln. Phoenix AZ 85027 U.S.A. Phone: Toll-Free: 623) 581-9777(877) 967-1904. ... moreBusiness Hours: 7:30am- 4:00pm(Arizona time) Monday to Friday Email: surpluseq.com View our other and. Payment, We accept the following forms of payment: Credit/Debit Cards: Accepted for USA and Canadian eBay transactions pursuant to our eBay Sales Policy* Cards accepted: Visa, MasterCard, Discover Card. And American Express. We may require shipping to the card holder's billing address and signed CC Authorization form. PayPal: Accepted for USA and Canadian transactions pursuant to our eBay Sales Policy* Shipping to a PayPal verified address may be required. Authorization for PayPal acceptance when the item is being shipped to outside of the U.S.A. at our discretion. Contact us with questions. Sales Tax: Arizona(AZ) sales tax in the amount of 8.3% is collected unless buyer provides a valid AZ Sales Tax Exemption Certificate prior to payment. Shipping, Use the shipping quote calculator supplied by eBay. Or contact us for a shipping quote for freight items. Do not hesitate to contact us directly if you feel the shipping calculated using the eBay quote calculator is excessive. We generally do not ship on the buyer's shipping account. Except in unus
Plasma Technology Mod DP 80 etcher-semiconductor chips deposition-Edwards E2M40
You are bidding on Plasma Technology Model DP 80 semiconductor processing film deposition(etcher? as shown in Picture Gallery- contains Edwards E2M40 High Vacuum Pump- these go for $900- $1400 on eBay DP 80 looks to be in fair to good shape but since we don't have the ability to test it. We are calling it a parts machine. Seems like it is nearly complete except for one missing Temperature read-out module in the top control and deposition unit. Besides high vac pump. Has about $1000 or more of valves, gauges, flow controllers, etc, not including power supplies, sensors, pnuematic and electronic controllers, vacuum parts-fittings, vacuum chamber and RF generator section. just SLASHED PRICE Over 90% from $22.000- Machine is estimated to weigh about 800 lbs. We can assist in getting a decent freight quote with reliable truck broker. May be ... moreusable for nanotechnology like nano wire growth. See Oxford Plasma Technology) Etching 2 Plasma Technology RIE System DP 80(F-based processes only? Application example: The nitrogen-rich silicon oxynitride thin film for gate insulator application has been studied in this work. The Plasma Enhanced Chemical Vapour Deposition(PECVD) process was carried out at 350 degree(s)C with a low power density(0.011 W/cm 2) The equipment used for thin film deposition was a commercial Plasma Technology DP-80 parallel chamber system. S1H4 and NH3 was used as reactant gases. We beieve it may have specs as follows(comes with a manual and more) PLC control system with Touch screen interface which allows the system to operate in manual and automatic mode. 300W 13.56MHz RF Generator. 240mm Heated substrate electrode with PID temperature controller. External gas box with 6 mass flow controlled gas lines and a Nitrogen. Purging facility. For depositing a-si(H) SiOx, SiNx. Applications include dielectric isolation. Hard masks, diffusion masks and. Anti-reflection coatings. Sample sizes from a few mm2 up to 4” wafers. Gases available SiH4. NH3, N20, CF4, O2 and N2. A how- to book at p 3
SPI SUPPLIES Plasma Prep Plasma Etcher Plasma Asher Plasma Cleaner
THIS IS A RARE ITEM ON EBAY. CERTAINLY WON'T LAST LONG! SPI Plasma Prep a compact. bench-top" sized plasma etcher, which can also serve as a plasma asher or even a plasma cleaner, uses dry plasma chemistry to reveal hidden detail for SEM and TEM analysis. Used but in great working condition. The shell of the power switch button is missing and that is the only issue we know. GUARANTEED WORKING OR YOUR MONEY BACK!
March PX-250 Plasma Cleaner/Etcher
You are bidding on a used March PX-250 Plasma Cleaner/Etcher. The sale includes the March MPS-300 RF unit and switching power supplies. This was purchased at a company auction and functioned when removed from service. I have no way of testing. So the unit is sold as is and all sales are final. Due to the size and weight. This will need to be shipped freight. I will make arrangements wit the buyer to ship as efficiently and cost effective as possible. Please contact me with any questions. PX-250: Dimensions: 597 W x 413 H x 508 D(mm) 23.5 W x 16.25 H x 20.0 D(in. Weight: 45.3 kg(100 lbs. Stainless Steel Chamber: 229 W x 229 H x 305 D(mm) 9.0 x 9.0 x 12.0 D(in. Max. 3 adjustable electrodes/shelves: 177.8 x 203.2 mm(7.0 x 8.0 in. RF Power: 13.56 MHz. 300 W, Solid State Control System: Microprocessor-controlled Mass flow controllers Pump System: ... more11CFM Prepared. Charged and tested with Krytox ® fluid or hydrocarbon fluid
March PX-1000 Plasma Etcher Operation & Maintenance Manual
C9749. March PX-1000 Plasma Etcher with Microprocessor Control- Operation& Maintenance Manual. Please review all the pictures. They are part of the description. We combine shipping whenever possible. Not responsible for customs charges.
Tegal Plasmaline Model 421 Barrel Etch Plasma System Wafer Etcher w/ Gauges
This listing is for a Tegal Plasmaline Model 421 Barrel Etch Plasma System Wafer Etcher w/ Gauges Local Pickup always Welcome! Dallas. TX_ For Sale is a Tegal Plasmaline Model 421 Barrel Etch Plasma System Wafer Etcher including Power Supply. SR-250D Gauge, Fischer& Porter Gauge Model 10A1337, and Operating Manual. This unit has been tested working properly. This unit is in good condition and has the regular use marks for an item like this. We not sure how many hours have been put on this Plasmaline but it was taken from a working enviroment. Message me if you would like to get a shipping quote for Freight. Please let me know if you have any questions or concerns. Does not include original packaging. We have described this item to the best of our knowledge and ability. Please carefully examine each of the photos and ask me if need any ... moreother information before you purchase. Each of our items is carefully packaged at our warehouse to ensure a safe shipment. After winning this auction you will be sent a tracking number for your item. We mainly ship with UPS or USPS for reliability and speed. If you want additional information regarding the content of this item please refer to the manufacturer for more details. If you have any questions please feel free to Contact Us. TechNextDoor Store Policies: Note: International Bidders requesting a shipping quote must leave a address to calculate shipping. All Items are shipped with Insurance. Items over $250 will require a signature upon delivery. I do not offer warranty or technical support on products listed. Local Pickup is welcomed. Please contact me for appointment. International bidders are responsible for any international fees or dues. In many cases these items are consigned or purchased second hand. I do not always know the full history or how to operate and repair these items. I try to the best of my knowledge to list the item accurately. Please note: We process and ship orders Mon– Fri and are unable to offer w
Plasma-Therm System VII Tabletop RIE or PECVD Reactive Ion Etcher with Turbopump
PLASMA-THERM- System VII Tabletop RIE or PECVD Reactive Ion Etcher with Turbo Pump option Inventory# 57851*Before purchasing this system. Please note that the refurbishment process does not begin until the equipment has been purchased. Please contact us for lead-time. Convertible to PECVD System. PC controller. Old PC but sold in good working condition. System can be converted between RIE and PECVD. Electrode holds wafers up to 200mm. Four process gas inputs each with MFC mass flow controllers. Turbo pump and corrosive series vacuum pumps. 500W 13.56 MHz RF Generator with matching network. Gas input via shower head. Last used O2. CHF3, CF4, SF6. Can be sold in untested as-is condition. Or fully checked out and refurbished by one of our technicians, with our standard right to return and 3 month parts warranty. Please contact us directly for ... moreas-is pricing. Please contact us for additional information on this system. Including lead-time, or for any of your other equipment needs via eBay mail, directly at. Or at phone# 732) 863-9500. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Please contact us to discuss suitable payment arrangements. BID SERVICE has been meeting the equipment needs of the Semiconductor and Scientific communities for the past 30 years. Our expert refurbishing services. Demonstration capabilities, and broad selection of inventory add up to value for our customers. Visit us on the web at: www.bidservice.com
March Instruments PX-1000 Plasma Etcher/Cleaner
March Instruments PX-1000 Plasma Etcher/Cleaner Inventory# 58161*Before purchasing this system. Please note that the refurbishment process does not begin until the equipment has been purchased. Please contact us for lead-time. Plasma Etcher/Cleaner. Batch systems for plasma cleaning or etching. Currently configured with only one 17 in. x 14 in. shelf set. Can accommodate multiple shelves. RFX-600 13.56 MHz. 600W RF generator. Two gas inputs. Includes vacuum pump. Can be sold in untested as-is condition. Or fully checked out and refurbished by one of our technicians, with our standard right to return and 3 month parts warranty. Please contact us directly for as-is pricing. Please contact us for additional information on this system. Including lead-time, or for any questions via eBay mail or at phone# 732) 863-9500. Please note: for purchases ... moreof this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Please contact us to discuss suitable payment arrangements. BID SERVICE has been meeting the equipment needs of the Semiconductor and Scientific communities for the past 30 years. Our expert refurbishing services. Demonstration capabilities, and broad selection of inventory add up to value for our customers.
Branson/IPC P2100/3 Plasma Etcher/Stripper
Branson/IPC P2100/3 Plasma Etcher/Stripper. Automatic and manual modes, three process timers and three gas inputs, 150mm wafer compatible, quartz process chamber 12 x 20″ RF Cage, ENI ACG-10T 13.56 MHz, 1000W RF generator, and Leybold D30 Vacuum pump. Optional: Fomblin prepped pump for oxygen plasma service(inquire) Freight shipping required. Call 408-360-0380 with questions or concerns. This item is being sold by Equipment for Technology& Science Inc. ETS) We operate from a 25.000 square foot company owned facility in San Jose, CA that includes four refurbishment bays, offices, showroom, and warehouse. Customers may visit our facility for a full demonstration with 24-48 hour notice. We specialize in providing the highest quality refurbished equipment to well-established companies as well as start up ventures with limited capital. Our ... morecustomers include universities. Government labs, the semiconductor industry, material science, MEMS, nanotechnology, general R&D, and emerging technology companies. ETS carries an inventory of over 2000 items and our technicians provide a complete and comprehensive testing of all equipment that leaves our facility. Our singular focus is on giving our customers the quality and reliability they expect at a price they can afford. We take pride in providing consistently reliable equipment that our customers can rely on to perform as promised. Every piece of equipment we sell to the domestic market is 100% tested and backed by a 3-month warranty on parts and labor. Since our founding in 1985. We have refurbished and sold over 5000 pieces of equipment valued at more than $50 million to more than 3000 satisfied customers including some of the best-known companies and universities in the world. Our customers return to us time and again and rely on ETS to deliver the mission-critical equipment their business needs– every time, without exception. The secret to our success lies in our superior evaluation and inspection process. Each piece of equipment goes through a thorough. Start-to-
Technics West PlanarEtch II Model 750 Plasma Generator PE II ; Etcher , Asher
Technics West Inc. Technics PlanarEtch II Model 750 Plasma Generator. Model: PE II. Serial# 30412 110 Volts 20 Amps. 60 Hz. 20 psi water The Technics PE-II is a plasma-induced etcher that can be used for photoresist ashing. Surface cleaning, and surface treatment and/or etching of various materials. The RF power is variable allowing the operator to tailor the plasma density to suit the etching requirements. The system is equipped with a heater and thermocouple to monitor the temperature. Buyer is responsible for shipping. Product Features and/or Part Number: Pictures are of actual item and are part of the auction description. Shipping: Buyer is responsible for shipping. We can build custom pallets and crates for an extra charge. International shipping is available on most items please ask before bidding. Paypal Confirmed Address: Paypal address ... moremust be confirmed and be the same address item is being shipped to. Returns: Returns are not accepted unless item is defective or grossly misrepresented. Notify us of any issue within 7 days of receiving product. Feedback: Feedback is very important and we want happy customers. Please email or contact me with any concerns. Issues or problems before leaving feedback and give me that opportunity to work things out if needed. Communication is key. We strive to provide a good product at a good price with fast shipping and great customer service. We realize that mistakes happen every now and then so please talk to us and we will make it right. Please checkout our other products at our ebay store. New items are added daily. Powered by The free listing tool. List your items fast and easy and manage your active items.
Axic MultiMode HF-8 / HF8 Reactive Ion Etcher / RIE / Plasma System / Warranty
If you have questions. Please feel free to contact us through ebay mail, or directly at: nrscientific@gmail.com or at 201 592 1864. Axic Multimode HF-8 Plasma Processing System RIE/ Reactive Ion Etching Parallel plate true RIE. Dual gas system. These systems are available in a demonstrated fully operational condition. With a 30 day warranty at the listed price. They can also be made available fully reconditioned. Complete with an appropriate refurbished vacuum pump, and a longer warranty- at a higher price. These systems are in excellent condition. As is, and were recently removed from service from a major ink jet manufacturer's closed facility. They are offered at a small fraction of original purchase price. The seller. N&R Scientific, has been providing the highest quality refurbished equipment worldwide for many years. You can ... morepurchase equipment in complete confidence that the equipment provided will function properly and that it is accurately described. Equipment demo prior to shipment is available at our site or via video. Please note: equipment sold by our company is free of hidden defects. It has not been cannibalized nor otherwise"harvested" for good parts by exchanging faulty parts from other systems. It is not another user's problem equipment that has been discarded. The seller is knowledgeable about this equipment. And can stand behind the sale. In other words, you will not be gambling with your purchase. Several systems are available at this time. And can be provided with almost any level of refurbishment or warranty. The equipment is located in our Metropolitan New York City area facility. Please note: for purchases of this size. We are unable to accept PayPal or credit cards, unless special arrangements are made. Kindly contact us regarding payment options. Professional crating/packing is available to insure that equipment is received in excellent condition. We are also happy to make appropriate shipping or delivery arrangements anywhere in the world. Normal 0 For your referenc
Plasma Therm Wafer/Batch 740 Etcher
Plasma Therm Wafer/Batch 740 Etcher S/N: 00466-063M(3) 4" Cap/ Upgradable to 6" w/ Tek-Temp model TKD250/5K/DC/T1/PO Chiller, W/ Edwards model iQDP 40 Vacuum Pump. Controls, Manuals. Condition: As-is/ Where- is* We will accept returns within 7 days after your receipt.
Plasma Sciences Inc model RIE-600W Etcher Plasma Etching Lab Equipment
Please see the pictures of the actual Ebay Item. IT! Everything& Only what you see is what you will get. Be sure to add me to your! Check out my! 1369 Daviess Street Lockport.IL. 60441 Call Patrick Lind at 815 838 0134. RELIABLE COMPUTER SERVICES. Inc. 28 Years of Buying& Selling computer hardware by DEC or"Digital Equipment Corporation" Since 1985. RCS Inc. has been buying& selling computer hardware by DEC or Digital Equipment Corporation for 28 Years. Buying whole machines/sights& selling the peripherals& parts to Maintenance companies& even back to DEC. When it comes to purchasing or selling computer hardware by DEC. Call the company that delivers what they promise at the fairest market price. We will also be selling many non DEC related items here on Ebay. We will be attending many auctions.Liquidations,surplus& ... morebankruptcies sales here in Chicago& the Midwest area. We will be selling a wide variety of items. From printers& plotters to floor scrubbers& Oscilloscope. Tools, Antique's,Computer hardware, Copiers, motors, generators, Radios, Test& Scientific Equipment. Payment is due within 10 days of listing closing. Unless we are on vacation. Most orders ship within 1 to 3 days of receipt of a guaranteed payment. All International shipping charges must be Prepaid to RCS Inc. As the Exporter. We are liable for these charges if the buyer does not pay. Sorry,We cannot& will not ship freight collect to a buyers account. We are located in LOCKPORT IL. About 35 miles SW of Chicago IL. Most items can be inspected in our warehouse before bidding. Please call 1st& make an appointment. We are not there or available everyday. Buyers can also email or call us with any test procedures they would like us to try for them on the equipment. Send us your day time phone# we will call you while we try your procedures.Thanks Send payment to: Reliable Computer Services. Inc. 1369 Daviess Street Lockport. IL. 60441 815 838 0134 Search words:
March PX-500 Plasma System / PX500/ Plasma Asher / Etcher / Quick Shipment
March PX-500 Plasma System Fully Refurbished with 6 Month Warranty It can be made ready for very quick shipment. The listed price is for a base unit consisting of: RF Generator- 600 watts- Typically an RFX 600 as seen in separate photo. Note. A 300 watt power supply is shown in the photo with the PX-500, but it will be replaced with a 600 watt unit. One set of Power and Ground Shelves. All standard system features. 2 Flowmeter Gas Controllers Options available include: Additional Shelf Sets Vacuum pumps for Oxygen service. Or Vacuum Pumps with Hydrocarbon fluid for non- Oxygen Plasma processes. Please note: Other plasma systems are available. Including larger March PX-1000 and smaller PX-250 systems, as well as Plasma Systems from other manufacturers. Over 50 Plasma Systems in inventory. For your reference. The seller is N&R Scientific ... moreCo. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for over 35 years. You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our 50,000 square foot facility is located in the metropolitan NYC area. Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount.
March Instruments PX 1000E8 Plasma Asher/Etcher with Pneumatic Vertical Door
March Instruments PX 1000E8 Plasma Asher/Etcher with Pneumatic Vertical Door Inventory# 58786*Before purchasing this system. Please note that the refurbishment process does not begin until the equipment has been purchased. Please contact us for lead-time. Batch system for plasma cleaning or etching. Currently configured with one shelf set: 17 in. x 14 in. Can accommodate multiple shelves. RFX 600 13.56 MHz RF generator. Two gas inputs. Does not include vacuum pump at this price. Additional cost depending on type required. Can be sold in untested as-is condition. Or fully checked out and refurbished by one of our technicians, with our standard right to return and 3 month parts warranty. Please contact us directly for as-is pricing. Please contact us for additional information on this system. Including lead-time, or for any questions via eBay ... moremail or at phone# 732) 863-9500. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Please contact us to discuss suitable payment arrangements. BID SERVICE has been meeting the equipment needs of the Semiconductor and Scientific communities for the past 30 years. Our expert refurbishing services. Demonstration capabilities, and broad selection of inventory add up to value for our customers.
Branson/IPC Model L2101/3 Plasma Barrel Asher Etcher System w/ 9110 Controller
This auction is for a Branson/IPC Model L2101/3 Barrel Asher System. System includes: Branson/IPC Model L2101/3 Barrel Asher Serial# M912030 Quartz barrel: 12" Diameter x 21" Deep. Quartz Barrel: 12" Dia. x 20" Deep Branson/IPC Model 9110 Controller Serial# 10006050 Branson/IPC Power Distribution Box Serial# 10006045 Branson/IPC Cabinet/Rack Various Cords/Cables As you can see from the pictures. This unit is in nice, clean condition with minor wear. The system looks to be mostly complete, but I lack the correct power hook-ups/cords to fully test functionality. However, I do have the cord for the controller and it powered up(see picture) Feel free to contact me with any questions. Thanks for looking and happy bidding! Powered by The free listing tool. List your items fast and easy and manage your active items. 1?RcmdId ... moreViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2B%3E%3B1%3F-143bc7e7ec6-0xeb-
D&W 425 Plasma Etcher with Stainless Steel Chamber
D&W 425 Plasma Etcher with Stainless Steel Chamber. Condition has been listed for parts or not work due to the fact I have no way to test or know for sure what parts are not included to make this a functioning unit. Please review pictures. This is being sold as is. If you have any questions feel free to ask. I will be happy to provide additional pictures. Note. There is a second generator included with this auction. It's a model ANM 100. It's condition is unknown as well. Shipping zip code is 55746 if you would like to get your own quotes for shipping. We do have a loading dock. Weight is estimated at 900lbs. Please do you research before bidding.
TEGAL Model 211 Plasmaline Plasma Etcher / Asher with 211 RFG Power Supply
TEGAL Model 211 Plasmaline Plasma Etcher/ Asher with Model 211 RFG Power Supply*Powers Up! ~14 Day Right of Return~ Because of the Size& Weight of this item. Please NO INTERNATIONAL BIDDERS. S/N 2110298(both) Please note: This item is in GOOD/FAIR cosmetic condition. Unit has some scratches and chipped paint on the outer casing. See pics. This Unit was pulled from a working micro-electronics lab. It powers up and all of the buttons and dials respond when pressed but is out of our area of expertise thus we do not know how to properly test it. You are welcome to send questions about the item and if you are a local buyer you are welcome to come and inspect it prior to buying/bidding. Please call us for hours of operation. 626-472-7500. We do not have any accessories for this unit; only what is pictured. Unit carries a 14 Day Right of Return. ... morePlease Note: The total weight of this item including packaging is: 108 lbs. We will palletize this item for shipping. We will This item carries a 14 Day Right of Return provided that our warranty seals are intact and there is no evidence of user misuse; in order to allow the buyer time to confirm the unit is in working condition. And ONLY IF the item listed above is not as pictured or described in the listing. Items are as pictured below. If you are unsure about the completeness or condition of an item. Please contact us before placing your bid! Please read all of our terms of auction below before placing a bid. Terms of Auction: Payment arrangements are to be made within 3 days of purchase of item. You will receive an email from eBay with payment instructions and a place to tell us how you would like to complete your purchase. A courtesy Payment Reminder will be sent on the 5th day of non payment. Item will be placed back upon eBay if payment is not received within 8 days of the closing of the item. Only items pictured above are included in the listing. There are no manuals or power cords included unless otherwise noted in the picture or description. All items are sold
Plasma Etcher Matrix 303 System Semiconductor Wafer
Matrix 303 Plasma System. Missing Rf power supply and other parts. Excess to ongoing operations. Terms of Use and Liability: Seller assumes no responsibility for the use or misuse of the merchandise sold. Sales Tax: Texas residents will be charged sales tax. Which will be added to your order. If you are tax exempt, please provide a copy of your tax certificate before checkout. Do not send payment until we send you a revised invoice. Shipping: Buyer is responsible for all freight charges. Local Pickup: Possible. Depending on item and availability. International Shipping: No
Trion Technology Plasma Etcher Deposition Wafer With RFX 600 Advanced Energy
Normal 0 false false false EN-US X-NONE X-NONE MicrosoftInternetExplorer4 Trion Technology Plasma Etcher Deposition Wafer With RFX 600 Advanced Energy We don't know how to run this machine Therefore we Sell As Is! 1024x768 Normal 0 false false false EN-US X-NONE X-NONE MicrosoftInternetExplorer4 Shipping: This item is available for local pick up in the Oxnard. CA area. If you would like this item shipped please let us know before purchase so we can make the proper arrangements. Please be aware that if you reside in California we charge 8.00% Sales Tax. Returns: 14 Day Return Policy. If the items is not as describe please contact us within 14 days of arrival unless otherwise specified. We are not responsible for return shipping. Please note what is pictured is what is included in the sale unless otherwise specified. If you have any questions ... moreregarding the item please Don’t hesitate to Contact Us at: 818.441.8941
Drytek Triode 384T 384 T Plasma Metal Etch Etcher System
This auction is for a Drytek Triode 384T Plasma Etch System. As you can see from the pictures. This unit is in extremely clean condition with minimal wear and mostly complete. I have not powered system up(lack the power) and it does appear to be missing at least a couple internal parts, sold as-is. System also comes with an assortment of original manuals(see last picture) Repair or use for its immense amount of rare spare parts! Feel free to contact me with any questions. Thanks for looking and happy bidding! Powered by The free listing tool. List your items fast and easy and manage your active items.
Branson/IPC M9060 Plasma Etcher/Stripper With Model 3000c Controller and Gen
Comes with: Branson IPC Etcher Model: M9060 Reactor Center 33903 1013 Branson/IPC Controller Model 3000c P/N 10452-D Branson/IPC Generator Model PM 1/9 Generator V: 120 Phase: SGL H:60 F.L. Amps: 15
Branson/IPC Plasma Etcher/Stripper With Model 3000C Controller and ENI Generator
This is a used system and is being sold"As Is" It has a 12" diameter"New" quartz chamber and has a ENI 600 Watt 13.56 MHz RF Generator.
March Systems Pyrex Plasma Etcher Etching Chamber Asher Plasmod 6" Diameter
This auction is for a single item: March Systems Pyrex Plasma Etcher Etching Chamber Asher Chamber Plasmod Hoses. Fittings and one clamp included 6" Diameter 7.5" Tall Condition: Used. No chips. inner tube discolored a bit. side port repaired to as new(see image) Our aim is to have every buyer satisfied with their purchase. If you require additional information not mentioned in the description or further clarification of the functioning condition of an item. Please ask and we will respond to your request swiftly and to the best of our knowledge. Please refer to our“Store Pages” links located on the left side of our store homepage to review our full policies and detailed FAQ.
Branson IPC Model L2101 Plasma Etcher System with Chamber
This is a Branson IPC Plasma Etcher System We were unable to test this unit Features: Power Distributor- Top: Model L2101- Serial M960040- Power Distributor- Lower: P/N 13092-02-B- Serial 10004219- 24V- Free Standing Chamber- Approximately 62" tall X 34" wide- Inside Chamber measures approximately 22" X 34" Defects: No chamber inside L2101 Unit(uses larger chamber) Shipping: This item ships freight due to size and weight. Freight charges vary between $226.00- to $466.00 depending on location. This item comes with our No Hassle Return Policy! We don't think you should spend hundreds- sometimes thousands of dollars on this"As Is- No Returns" Nonsense! We ship WORLDWIDE! Our goal is 100% customer satisfaction! International Bidders: Please contact us for shipping quote before bidding. As always. Please feel ... morefree to e-mail us with any questions. Please note: We have no history on this item. Cosmetically it looks fine. But we don't have the capabilities to fully test. No accessories other then what is pictured is included with this item. We are Medical Specialists Inc- Cleveland OH 44280 330-460-3734 C65n
March PX-1000 Plasma System / PX1000 / Plasma Asher / Etcher / 6 Month Warranty
March PX-1000 Plasma System Fully Refurbished with 6 Month Warranty Quick Shipment Possible. Also available with 1000 Watt RF Generator A Video of this system in operation is available on request. The listed price is for a base unit consisting of: RFX600 RF Generator- 600 watts One set of Power and Ground Shelves. All standard system features. 2 MFC Controllers Options available include: 1000 Watt ACG10B RF Generator Additional Shelf Sets Additional MFC Controllers Vacuum pumps for Oxygen service. Or Hydrocarbon pumps for non- Oxygen Plasma processes. Vertical door system Please note: Other plasma systems are available. Including smaller March PX-500 and PX-250 systems, as well as Plasma Systems from other manufacturers. Over 50 Plasma Systems in inventory. For your reference. The seller is N&R Scientific Co. Inc. We have been providing ... morethe highest quality refurbished and fully guaranteed equipment worldwide for many years You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our facility is located in the metropolitan NYC area. Customers are welcome to visit for acceptance testing/inspection. Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount.
Technics Plasma 100-E O2 Etcher Asher Cleaner Photo Resist Stripping 150mm OD
Technics Plasma 100-E O2 Etcher Asher Cleaner O2 ashing of organic residues and photoresists on III/V substrates 2.45 GHz 250W µW source O2 gas. CF4 planned Base pressure ~ 5 mTorr The timer on this unit only shows 348 hours! Condition is very clean. It appears to be in great condition. But we do not have the proper power requirements to power this on. Includes only what is pictured. Sold as is. Copied from various websites) Brief Description: The Technics 100-E is a Plasma Etcher/Asher for depotting of electronic devices. Plasma etching and photo resist stripping. Plasma is generated in a seperate plasma chamber from the process chamber. Samples can be treated directly in plasma chamber or in process chamber underneath. Brief Specifications: Plasma Chamber Size: Quartz with 150 mm O.D. Maximum Substrate Size: 125 mm diameter or 100 ... moremm square. Terms of Sale Buyer pays LTL shipping from 55107(continental US) Crating is available for an extra $100 if interested. Paypal usually ships within 24 hours. Other HI. PR, AK or international bidders PLEASE contact us before bidding. Thanks for your interest. Please email with any questions.
Tegal 801 Inline Plasma Etcher
Tegal 801 Inline Plasma Etcher Inventory# 36278*Sold AS IS* Inline Plasma Etcher. Fully automatic. Microprocessor-based, plasma chemistry etcher designed especially for the etching of thin films deposited on semiconductor wafers. All gas flow rates controlled by mass flow controllers. AS IS items will have different return and warranty conditions. Please contact us directly for as-is pricing. Please contact us for additional information on this system. Including lead-time, or for any questions via eBay mail or at phone# 732) 863-9500. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Please contact us to discuss suitable payment arrangements. BID SERVICE has been meeting the equipment needs of the Semiconductor and Scientific communities for the past 30 years. Our ... moreexpert refurbishing services. Demonstration capabilities, and broad selection of inventory add up to value for our customers.
Tegal Plasma 901e Etcher With Manual Plasma Etch System Wafer Semiconductor
Plasma 901e Tegal Etcher With Manual. SOLD AS SEEN IN PICTURES! Not tested. Cassette to Cassette Poly/Nitride Plasma Etcher*Easy to use menu driven control*Input gases controlled by MFC. Up to 4 MFC with system*Non-friction spatula wafer transport- Power requirement: 208 VAC 25A 1 PH- S/N: CU50134- Item# CU9000-50134-Volt: 200/208 VAC- Curr. 30 Amps- Freq. 50/60 Hz- Wires: 2+ ground- Wfg: 11/1999- m eter reads: 5081-RCTN Chamber item No. CC1106-01302 NOTE: We do not know the wafer size of the unit. If you know a way to tell. Please let us know. Specifics Below are off the internet: This is only to help. We do not know if this is exactly what we have. Please ask questions. Wafer Size Capacity: 3.4,5,6 inch Cassette To Cassette In-line Single Wafer Plasma Etcher.Capability to etch vias and contacts with anisotropic or sloped profiles.For etching ... moresilicon dioxide. Silicon nitrides, and polyimides.Can handle wafers from up to 6 in. Microprocessor control.208 V, 50/60 Hz. Tegal 901e series Plasma/RIE etch System are used by the Semiconductor Industry for integrated circuit fabrication. The system are used in one part of the sequence of manufacturing steps that transfer a pattern formed from a layer of photosensitive material, the photoresist, to a layer that makes up a permanent part of the final device. The process of defining a pattern with photoresist known as photolithography, while the etch process transfers the photoresist pattern to the permanent layer.Tegal 900 Series systems deliver highly reliable, repeatable results in etching a variety of films used to manufacture semiconductor, telecommunications and optoelectronics devices, flat panel displays and thin film magnetic heads.Tegal 901e,are designed around a production-proven wafer transport design that can accommodate 75 mm to 150 mm round silicon, GaAs, InP, and dielectric material substrates. The transport can also be configured to accommodate rectangular substrates up to 125 mm on a side. Typical Applications for Tegal 901e:1. Nitr
TEPLA AUTOLOAD 300 Microwave Plasma Asher/Etcher, WORKS
Tepla Auto-Load Model 300 Microwave Plasma Etcher/Stripper/Asher/Cleaner. OPERATIONAL We powered it up and lite a plasma past 1000 Watts. Available for inspection or demonstration. S/N 1171. 7/2002 vintage 2.45 Ghz. 0 to 1000 Watts Holds two 4" to 6" wafer carriers. Includes to 6" 150MM) Quarts Boats Does not include pump. No shipping. FOB our dock in Temple, Texas. We'll skid for free. Crating is extra. Logan Technologies. LP 254-773-4070
Branson IPC PVA TePla America, Box Plasma Etcher / Asher 11.5"W x 26"D x 11.5"H
RF Plasma Box Etcher 1000 Watts@ 13.56 Mhz Branson IPC/ PVA TePla America. See: http:www.pvateplaamerica.com/legacy/7102.php 1000 Watts@ 13.56 Mhz Custom Process Gas Inlets Etch tunnel 11.5"W x 26"D x 11.5"H Overall: 44" 111.8 cm) Tall. 25" 63.5 cm) Wide. 44" 111.8 cm) Deep. RF Generator: ENI OEM12AB-3. Included as Separate Free-Standing Unit. RF Match Box: Installed in Rear of Main Unit. Gas Inlets: Purge Gas. Gas 1, Gas 2. Gas Inlet Baratron Pressure Gages(shown in image) Required: Vacuum Pump. Gas Sources. Weight: 800 lb(363 kg) Manufacturer's Features and Specifications Manufacturer, Branson/IPC, Model, 7102, Etcher Type, Box, Rated Power Output, 1000 Watts, Number of Gas Inputs, Two Gas, RF Generator Model, ENI Power Systems-Model OEM-12AB-3, Panel Meters, Digital Accessories, MKS pressure transducers-Type ... more122A Other Information, RF Generator: 250 V. 20 A, 1 phase Exterior dimensions: 17"W x 16.5"D x 8.25"H Front panel digital display has CRT display Vacuum connection: KF 40 Etch tunnel dimensions: 11.5"W x 26"D x 11.5"H 3" diameter view port in door Exterior Dimensions, Width, 25.000 in(63.5 cm) Depth, 44.000 in(111.8 cm) Height, 44.000 in(111.8 cm) Weight, 800 lb(363 kg) Free Loading on your Truck Packing and crating at cost We will help you find shipping company if you prefer. Our surcharge to manage all Packing/shipping/insurance is $150 Please email for questions: ed.m@lasermotion.com 510-427-0115
Drytek/Lam Plasma Etcher, AE Generator Interface, P/N 28001100
Drytek/ Lam 384T AE Generator Interface P/N 2801100 Rev.1. Assy# 2801101 Used on Drytek/ Lam Etcher It will ship Fedx ground. So please provide a phone# Shipping price quoted is for lower 48 states US customers only. We'll ship Worldwide. But customer pays shipping. Available for inspection. Logan Technologies. LP 254-773-4070
TOK TSE-306W Plasma Etcher System
Description: TOK TSE-306WPlasma Etcher Is complete Plasma etcher System for 4 inch to 6 inch wafer Plasma etching process. It include RF power supply. AC box, Connecter cable, Quartz chamber. As is.Where is. Good condition. We sell it at AS IS condition with no return.Inspection is welcome against appointment. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: ... moreTegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can b
C84288 Applied Materials 8100 B/G AME Batch/Plasma Etcher
Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# C84288 This Applied Materials 8100 B/G AME Batch/Plasma Etcher appears to be in good/fair cosmetic condition with some signs of previous use as shown. Please refer to the pictures located above for some more details. This sale consists of(1) 8100 B. 1) 8100 G, 208V Single Phase Power Supply, 208V 3 Phase Power Supply, and everything else in the photos. I am not an expert on this system and I do not know how to properly set it up. I do not have the proper equipment needed to power on or to test these units; therefore they will be sold as is. Unpacked dimensions: 3.000 pounds, 16x5x7 feet Please ask questions or indicate concerns prior to bidding. By placing ... morea bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. Shipping. Buyer pays a fixed handling fee of $50.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) Buyer has the choice of arranging for pickup. Or requesting a shipping quote after the auction ends. Winning bidders of freight items should complete the checkout process and will be billed for shipping after we have received quotations from our carriers. The unpacked weight of this item is 3.000.00 Pounds Freight Shipments: Freight rate quotes are valid for 10 calendar days from the date quoted. If a cleared payment has not been received in this timeframe. The rate will expire. We reserve the right to requote the freight charges in the event of a payment delay. Auction Terms. Upon end of auction. The winning bidder should proceed to"Check Out" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal.com or BidPay.com) All auctioned products are sold as advertised. As is and without warranty. PAYMENT TERMS ARE PREPAID ONLY. Pa
SPI plasma prep II plasmaprep plasma etcher / cleaner - vacuum uhv vacuum
This listing is for a SPI plasmaprep II. PLEASE NOTE when the unit was apart to have the original rubber vacuum lines replaced the plasma chamber rolled on the counter. Into a sink and cracked. The crack isnt big enough to be seen in the photos, but the plasma chamber IS cracked. Otherwise the unit is perfectly operational. If this unit sells at the BIN price I will purchase and install a brand new plasma chamber from the OEM(please allow additional lead time for this) If the'best offer' option is used the sale will be for the unit AS-IS. SPI sells these for just under 11k$ new- get this one at a small fraction of the cost!
Branson/IPC S3003 Plasma Etcher/Stripper With Model 3000c Controller and Gen
Comes with: Branson IPC Etcher Model: S3003c M9060 Reactor Center 33903 1013 Branson/IPC Controller Model 3000c P/N 10452-D Branson/IPC Generator Model PM 1/9 Generator V: 120 Phase: SGL H:60 F.L. Amps: 15
1 USED YIELD ENGINEERING - YES-R3 PLASMA ETCHER/CLEANING SYSTEM
Welcome to Canarsky& Daughters. We are here to sell these items. We are looking forward to doing business with you. So have fun browsing and buying. Reasonable offers are always welcomed. SEARCH OUR STORE BY CLICKING HERE! ATTN: Please read all of our updated terms and conditions posted below before bidding. If you have difficulty with these terms. Please write us with your concern. By placing your bid. You accept these terms and agree to abide by them. THIS LOT CONSISTS OF: 1 USED YIELD ENGINEERING- YES-R3 PLASMA ETCHER/CLEANING SYSTEM. 115V/ 60htz. 1.5 amps. 175 watts. PLEASE NOTE: THIS ITEM IS VERY LARGE/HEAVY AND MUST BE SHIPPED BY TRUCK. OR OTHER SHIPPING SERVICE, AND/OR IS AVAILABLE FOR LOCAL PICKUP. SHIPPING MUST BE ARRANGED BY BUYER. WE ARE NOT ABLE TO CALCULATE THE CURRENT COST OF SHIPPING THIS ITEM. PLEASE CHECK WITH YOUR SHIPPING ... moreSUPPLIER. BUYER IS RESPONSIBLE FOR ARRANGING FREIGHT, AND NOTIFYING US WHEN PICK UP IS ARRANGED. CONDITION: USED SURPLUS. NO REASONABLE OFFER REFUSED. The approximate shipping weight is 250 lbs. All items are sold"as is" Unless described as"new" above. Items are used. We do not have the facilities to test most of these items. You are only buying the item(s) pictured/described. ALL TECHNICAL QUESTIONS/PROBLEMS SHOULD BE ADDRESSED THROUGH EBAY CHANNELS FOR THE FASTEST POSSIBLE SERVICE. IF SENT TO OUR EMAIL ADDRESS. YOUR CORRESPONDENCE WILL GO TO THE JUNK FOLDER, AND WILL NOT GET ADDRESSED. Please note. We are not experts in these fields and so may not be able to give you the answers you require. We will, however do our best to find the information you request. THANK YOU FOR YOUR COOPERATION. This item must be settled for immediately. All items not settled for in full will be relisted or sold at our discretion and appropriate feedback will be left. Please be sure to enclose a proper and correct shipping address(street address) EBay ID number. The total due is always equal to the total of 1) the agreed amount of the item and 2) the a
Refurbished Branson IPC 2000 3000 4000 Plasma Asher Etcher Descum
We provide fully refurbished Branson IPC 2000 3000 4000 Barrel Plasma Etcher Asher Descum Systems We also provide refurbished Branson L3200 Plasma asher Systems. Installation and training and warranty are optional at extra charge. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 ... more| Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
Refurbished Tegal 901e Tegal 903e Plasma Etcher
We provide fully refurbished Tegal 901e for Plasma Etcher. We also provide refurbished Tegal 903e Plasma Etch Systems. Installation and training and warranty are optional at extra charge. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow ... more4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
Refurbished Matrix 303 Plasma Etcher
We provide fully refurbished Matrix 303 for Plasma Etcher. We also provide refurbished Matrix 105 Plasma Asher Descum Systems. Installation and training and warranty are optional at extra charge. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam ... moreRainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
Tegal 903E Plasma Dry Etcher
General Description The Tegal® 903e is made by Tegal Corporation and represents the Industry Standard in single-wafer Dry Etch of Silicon Oxide and the mainstay of the highly successful plasma etch system. Systems are used by the semiconductor industry for integrated circuit fabrication. The systems are used in one part of the sequence of manufacturing steps that transfer a pattern formed from a layer of photosensitive material. The photoresist, to a layer that makes up a permanent part of the finished device. Wafers are transported to a Reaction Chamber. A gas mixture is introduced into the Reaction Chamber. And the gas mixture is caused to become reactive by the application of radio frequency(RF) electromagnetic radiation. The reactive mixture, or plasma, etches away material that is not covered by the masking photoresist. The etch ... moreprocess is terminated at an appropriate time, the wafer is unloaded from the Reaction Chamber, and a new wafer is introduced. The cycle repeats. We have 903e tools that are complete and operational As-Is and an almost complete unit that can easily be made operational or purchased for parts. This extra equipment was acquired as line items within a larger acquisition deal a few years ago. We are now looking to sell. Upgrade or trade. Pricing is FOB our Santa Clara. California warehouse. Buyers may schedule a visit to view the tools. We will handle, ship or deliver on a case by case basis. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*4a24%60%3C%3E-146c768d888-0x102-
Lam Research Rainbow 4400B Plasma Etcher Dry Etcher RIE Used Equipment (SN:2357)
We sell the Lam Research Rainbow 4400B Plasma Etcher Dry Etcher RIE Used Equipment(SN:2357) at AS IS. Refurbishment and installation with warranty is optional at extra cost.Please contact us if you want to buy it at refurbishement condition. We also have Lam Reareach AutoEtch 490;Lam Reareach AutoEtch 590;Lam Reareach AutoEtch 690;Lam Reareach AutoEtch 790;Lam Reareach Rainbow 4420;Lam Reareach Rainbow 4520;Lam Reareach Rainbow 4500;Lam Reareach Rainbow 4600;Lam Reareach Rainbow 4728B. We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson ... moreIPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country.
Lam Research Rainbow 4528B Plasma Etcher Dry Etcher RIE Used Equipment(SN 2740)
We sell the Lam 4528 B(SN 2740) at AS IS. Refurbishment and installation with warranty is optional at extra cost.Please contact us if you want to buy it at refurbishement condition. We also have Lam Reareach AutoEtch 490;Lam Reareach AutoEtch 590;Lam Reareach AutoEtch 690;Lam Reareach AutoEtch 790;Lam Reareach Rainbow 4420;Lam Reareach Rainbow 4520;Lam Reareach Rainbow 4500;Lam Reareach Rainbow 4600;Lam Reareach Rainbow 4728B. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson ... moreIPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please c
Lam Rainbow 4528B Plasma Etcher Dry Etcher RIE Used Equipment(SN 2648)
We sell the Lam 4528 B(SN 2648) at AS IS. Refurbishment and installation with warranty is optional at extra cost.Please contact us if you want to buy it at refurbishement condition. We also have Lam Reareach AutoEtch 490;Lam Reareach AutoEtch 590;Lam Reareach AutoEtch 690;Lam Reareach AutoEtch 790;Lam Reareach Rainbow 4420;Lam Reareach Rainbow 4520;Lam Reareach Rainbow 4500;Lam Reareach Rainbow 4600;Lam Reareach Rainbow 4728B. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson ... moreIPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please c
Lam Research Rainbow 4528B Plasma Etcher Dry Etcher RIE Used Equipment (SN:2907)
We sell the Lam Research Rainbow 4528B Plasma Etcher Dry Etcher RIE Used Equipment(SN:2907) at AS IS. Refurbishment and installation with warranty is optional at extra cost.Please contact us if you want to buy it at refurbishement condition. We also have Lam Reareach AutoEtch 490;Lam Reareach AutoEtch 590;Lam Reareach AutoEtch 690;Lam Reareach AutoEtch 790;Lam Reareach Rainbow 4420;Lam Reareach Rainbow 4520;Lam Reareach Rainbow 4500;Lam Reareach Rainbow 4600;Lam Reareach Rainbow 4728B. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: ... moreMatrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States.
Drtyek Coil / Cap Control Unit with Auto / Manual for 100S Plasma Wafer Etcher
Drtyek Control Unit with Auto/ Manual for 100S Plasma Wafer Etcher(Coil/ Cap) Drtyek Coil/ Cap Control Unit with Auto/ Manual for 100S Plasma Wafer Etcher Power input rating: 120 VAC This unit was pulled from a Drytek 100S Plasma Wafer Etcher If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48388
Drytek 2800072 Solid State Driver Board Drytek 100S Plasma Wafer Etcher 2800074
Drytek Solid State Driver Board Drytek 100S Plasma Wafer Etcher 2800074(2800072) Drytek 2800072 Solid State Driver Board Drytek 100S Plasma Wafer Etcher Part number: 2800072 Assembly number: 2800074 Schematic number: 2800071 This unit was pulled from a Drytek 100S Plasma Wafer Etcher Dimensions: 6.5" x 6.5" x 1.5" 0.50 LBS If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48420 ec2.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3Eec2-14741e27c2d-0x109-
Drytek 2800052 LED/Control Board for 100S Plasma Wafer Etcher 2800054 C-2800051
Drytek LED/Control Board for 100S Plasma Wafer Etcher 2800054 C-2800051(2800052) Drytek 2800052 LED/Control Board for 100S Plasma Wafer Etcher 2800054 C-2800051 Part number: 2800052 Assembly number: 2800054 Schematic number: C-2800051 This unit was pulled from a Drytek 100S Plasma Wafer Etcher If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48421 643.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d71f%2B%3E643-14741e27ad5-0x10d-
Drytek 2800194 Switch Board from 100S Plasma Wafer Etcher 2800193 MLA 94V-040/83
Drytek Switch Board from 100S Plasma Wafer Etcher 2800193 MLA 94V-040/83(2800194) Drytek 2800194 Switch Board for 100S Plasma Wafer Etcher 2800193 MLA 94V-040/83 Part number: 2800194 Assembly number: 2800193 Board number: MLA 94V-040/83 Omron MY2E-UA-DC24 Switch This unit was pulled from a Drytek 100S Plasma Wafer Etcher If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48422
Plasma Therm Plasmatherm Unaxis VLR Etcher Versalock 700 Dry Etch
This is a good used spare tool. Buyer will be responsible for freight charges. Can be view at my warehouse by appointment. I have this tool listed elsewhere and reserve the right to end the auction early. Make an offer if you like. If you'd like to come have a look. Give us a call at the following. We are in the 95002 zip code 408.946.2315 Steve 1.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28b0g%60%60%3E1-1474bc59571-0x10f-
Lam Research 490 Poly/Nitride Plasma Etcher, 6", S/N 1146
Lam Research 490 Poly/Nitride Plasma Etcher. S/n 1146 Includes ENI OEM-12 RF Generator Unit 1660-50 SCCM- O2 Unit 1660-200 SCCM SF6 Aera D980C 100 SCCM CF4 Unit 1200-200 SCCM He Not Tested. but it working when the end user deinstalled it. Sold"as is" we have no way to fully test it. No shipping"FOB our dock in Temple. Texas. Available for inspection. We'll skid at no charge. Crating is extra. We'll ship overseas. But customer must pay all shipping and crating charges. Logan Technologies. LP 254-773-4070
March Plasmod Plasma Cleaner Etcher 13.56 MHz & Deep Pyrex Chamber / Warranty
Payment Policy Brand New Sony CyberShot 8 MP Di March Plasmod Plasma Cleaner Etcher 13.56 MHz& Deep Pyrex Chamber/ Warranty Attention All Buyers If there is anything wrong with the item that you have purchased. Please do not leave negative feedback and do not open any disputes with eBay or PayPal. Just contact us directly and we will fix the problem quickly. Item Description March Plasmod Plasma Cleaner Etcher 13.56 MHz& Deep Pyrex Chamber/ Warranty. Used Condition- Used Condition Not Working- For Repair/ Parts Only March Plasmod Plasma Cleaner Etcher& Deep Pyrex Chamber Model: Plasmod Chamber: Pyrex. 5.9" L(15 cm) x 4.15" D(10.5 cm) RF Generator: Solid State Power Level: 0-250 Watts Output Frequency: 13.56 MHz Power: 115VAC. 50/60 Hz, 15A NOTE: this unit does not power on. As a liquidation business we do not have expertise/ ... moretools to test this unit. This auction is on an AS-IS/ Parts/ Repair basis, No Return, No Refund, please bid accordingly. Inventory Number: 4K95612-07-14 Item Condition Used Condition Not Working- For Repair/ Parts Only. No Return/ No Refund is accepted Actual Picture of the item is provided. What you see in the picture(s) is what you get Note: In Quantity Auctions. The serial number of the item that you receive may vary from the item shown above. Payment Policy 1) PayPal: We accept PayPal from all verified accounts. Note: For large payments or payments from some foreign countries we may require other electronic means of payment instead of PayPal. 2) Credit Cards: We accept credit card payments through PayPal only. 3) Checkout: All US buyers should follow eBay checkout process to receive the proper invoice. International buyers should contact us to for an update on the shipping costs before they can follow eBay checkout. 4) Sales Tax: Californian buyers pay a Sales Tax of 8.625% unless a valid Resale Certificate or Tax exemption Certificate number is remitted by email or fax prior to submitting payment. 5) Checkout: An automated Non-Paying Bidder Alert will be filed if pa
Lam Research 490 Poly/Nitride Plasma Etcher, 150 MM, S/N 1145
Lam 490 Poly/Nitride Plasma Etcher. S/n 1145 Includes ENI OEM-12 RF Generator Unit 1200 MFC's 50 SCCM- O2 200 SCCM CF4 200 SCCM SF6 200 SCCM He Powers up but is not fully Tested. Working when the end user deinstalled it. Sold"as is" We have no way to fully test it. No shipping"FOB our dock in Temple. Texas. Available for inspection. We'll skid at no charge. Crating is extra. Overseas buyers must pay all crating and shipping charges and arrange for pickup Logan Technologies. LP 254-773-4070
Tegal / March PLASMOD 100 W Tabletop Plasma Reactor/Asher/Etcher System
Click Here. Double your traffic. Get Vendio Gallery- Now FREE! Tegal/ March PLASMOD 100 W Tabletop Plasma Reactor/Asher/Etcher Comes with a manual(may be for the same model but a different revision) and what you see in the pictures. If you don't see it. You probably wont get it. Specifications are from Tegal and may vary slightly due to upgrades. Options or revisions this unit may or may not have. The unit has been tested and is guaranteed to work. The Unit's Serial Number Tag Reads: Model Number: PMOD Serial Number: 1100177 Date: 11-81 Key Features: The Actual Chamber Size is about 6" deep and 4.5" internal diameter. The Actual Holder Size is about 5.75" deep and 4" internal diameter. 120 V. 50/60 Hz 0-100W RF Power@ 13.56 MHz, General Description: Tegal's PLASMOD is a tabletop plasma chemistry reactor designed ... moreto provide the scientific and educational community with plasma technology at a moderate cost. Tegal is able to provide such capability by engineering a simple to operate instrument which can perform repeatable plasma chemical reactions with a minimum of automation. All controls are manual; however. Where necessary, automatic monitors and controls take over to protect the equipment and the samples in the reactor. The PLASMOD comes quipped with an internally housed RF generator. RF power is transferred from a power amplifier directly coupled to the reaction chamber through a matched impedance network. A variable capacitor provides fine tuning control for matching the output impedance of the RF generator with the capacitive load of the reaction chamber. An audible alarm sounds whenever the impedance match goes out of specification. This also aids in tuning since the alarm-off"window" corresponds to the"in tune" state of the machine. Instrumentation for the PLASMOD consists of a power tuning indicator. The audible tuning alarm, and provisions for several optional attachments. These include facilities for an externally mounted pressure transducer, and a stri
AUTOMATED PLASMA Circuit Board Plasma Etcher
Automated Plasma. Inc. Plasma Etcher Pyramid Cabinet Front Loading Six[6] Panel Self Contained AS-IS Condition Condition: Used and is being sold in*as-is* condition only! Pictures above represent the actual item! Lot includes: Item in foreground of fotos directly related to above description. Does not include anything in background of fotos. Or any tables. Fork trucks or pallets. We ship Internationally! However. Shipping or crating is not included in the listed price. Please review our*Shipping and Payment Terms* before purchasing. Purchaser has the choice of arranging for pickup at our warehouse or requesting a shipping quote thru one of our Corporate Carriers. Purchasers of our items must first complete the eBay checkout process and will be billed for shipping separately. Purchasers also have the choice of using a carrier of their choice. ... moreEither providing us with the carriers account number for direct billing or contracting the carrier themselves for pick-up. Crating charges. If necessary. Will be billed separately and shall be paid in advance- with freight charges[if any] before release of shipment. Thanks for your interest! All dollar amounts are USD and Ex Works
Tegal Model 903e Plasma Etcher Operation / Maintenance Manual, 88-062-001
Tegal 903e Plasma Etcher Operation/ Maintenance Manual P/N 88-062-001 Used Manual will ship Fedx ground. So please included your phone# Quoted shipping price is for US customers only. We'll ship worldwide. But shipping cost will be determined at the time of purchase Logan Technologies 254-760-2424
March Instruments PLASMOD Plasma Asher/Etcher with GSM-200, WORKS
MARCH PLASMOD PLASMA ETCHER/ ASHER with GSM-200 Vacuum/ Gas controller. WORKS S/N 1214 4" Chamber. Manual Tuning Tube type. Up to 100 watts@13.56 Mhz Pump not included. Used. Available for inspection. Right of return, but intem must be returned in the condition in which left our location. Ship Fedx ground. So please included your phone# Quoted shipping price is for US customers only. Logan Technologies 254-760-2424
PLASMA-THERM 700 RIE SYSTEM Reactive Ion Etcher Refurbished
Plasma-Therm 700 REACTIVE ION ETCHER PLASMA-THERM 700 RIE SYSTEM WITH THESE PLASMA-THERM CONTROLS PRM-1 PRESSURE CONTROL HFS 500 RF GENERATOR SUPPLY MFC-5 MASS FLOW CONTROL Available Options: LH D60 WS/WA 251 BLOWER 179 CFM BLOWER PKG VACUUM HOSE AND FITTINGS Please Note: We are Offering this Plasma-therm 700 RIE System Fully Refurbished All shipping costs to return the product are the responsibility of the buyer. International Buyers must arrange shipping we'll provide weights and dimensions Packaging for international and crated shipments will be added to invoice. Please Visit our E-Bay Store and check our other auctions
MTI Corporation AfterGlo Plasma Etcher Track AGLO01
MTI Corporation AfterGlo Plasma Etcher Track AGLO01 Item is untested and sold as is.
Branson/IPC 2000T Plasma Asher/Etcher Oven w/Leybold Trivac D 65BCS Vacuum Pump
Branson/IPC 2000T Plasma Asher/Etcher Oven w/Leybold Trivac D 65BCS Vacuum Pump FOR PARTS OR REPAIR ONLY | AUCTION TERMS: AS IS ITEM DESCRIPTION: Welcome to our listing! Branson/IPC 2000T Plasma Asher/Etcher Oven with Leybold Trivac D 65BCS Vacuum Pump We are not able to test this equipment due to the lack of expertise. We presume it needs repairs. You will received this equipment as pictured. The following will be included. But not limited to: Branson/IPC 2000T Plasma Asher/Etcher Oven System A12300-02 with Controller Branson/IPC PM-132 RF Generator Branson/IPC Power Distribution LP Teledyne Hastings Vacuum Gauge VT-6B for DV-6 Leybold Trivac D 65BCS Vacuum Pump with Emerson G78597 3.0 HP Motor This equipment is sold for parts or repair only! No returns or exchanges will be considered. No warranty expressed. Written or implied! WARRANTY: ... moreNO WARRANTY EXPRESSED. WRITTEN OR IMPLIED! ALL SALES ARE FINAL NO RETURNS OR EXCHANGES WILL BE CONSIDERED PAYMENT: WE ACCEPT PAYPAL. ALL CREDIT CARD PAYMENTS MUST BE MADE THROUGH PAYPAL. WE DO NOT ACCEPT CREDIT CARDS DIRECTLY! IF YOU NEED TO SEND US A NOTE OR A MESSAGE DURING CHECKOUT. PLEASE DO NOT US ADD NOTE IN THE CHECKOUT PART OR IN PAYPAL. INSTEAD SEND US A MESSAGE THROUGH EBAY OR EMAIL US DIRECTLY! WE RESERVE THE RIGHT TO DENY ANY PAYMENT THAT WE CONSIDER FRAUDELENT OR SUSPICIOUS! shipping: SHIPPING QUOTED IS FOR CONTINENTAL US ONLY. BUYERS FROM OUTSIDE CONTINENTAL US AND INTERNATIONAL BUYERS PLEASE CONTACT US FOR A SHIPPING QUOTE. DUE TO THE SIZE OF THIS SHIPMENT IT WILL BE SHIPPED ON A PALLET OR A SKID VIA OUR PREFERRED FREIGHT COURIER WITH TRACKING INFORMATION UNLESS BUYER WANTS TO ARRANGE THEIR OWN SHIPPING. LOCAL PICKUP IS ENCOURAGED. WE DO NOT CHARGE A PICKUP FEE. SHIPPING COST IS FIXED FEE. NON-NEGOTIABLE AND NON-REFUNDABLE. IT INCLUDES PACKING MATERIAL COSTS AND HANDLING EXPENSES. IF YOU DO NOT AGREE WITH IT. PLEASE DO NOT BID. ANY MERCHANDISE SENT TO ANOTHER COUNTRY MAY BE SUBJECT TO DUTY UNDER THE CUSTOMS REGULATIONS OF THAT COUNTRY. TECHYPARTS. LLC. DOES NOT M
March Jupiter II RIE plasma system, March plasmod, Plasma Etcher, Plasma Asher
Rebuilt March Jupiter II RIE AE 600 Watt RF Generator. 13.56 MHz, solid state, Two Mass Flow Controllers. Automatic or Manual impedance matching, Timer, End Point Detector, Baratron Pressure Display. End Point Control. Aluminum Chamber, 4" 6" or 8” wafer capability. 75" material height limitation. Designed for use on table top or counter. System is: S/N 129. March Controller is: PCM2 S/N 1050, 600 watt RF generator is: AE RFX600 Includes all cords and cables. Fully rebuilt and tested. Sold with a 30 day warranty. Glow Research has taken over the support of several older Nordson March Plasma systems. This allows us to provide warranty support and rebuilding of these systems. Please email customerservice@glowresearch.org for more information.
Anatech Ltd 600 Series Plasma Etcher
Model: MR600 Quartz Serial: 4601006 Gas/Water: 20 Psi Electrical: 120V 15A 60 Hz This unit is missing the controller and RF Generator. There are two chips out of the glass on the door however the glass tube inside the unit is pristine. The pictures included in this listing are of the actual item. No accessories or documentation included unless otherwise noted and photographed. If there are any issues with your purchase. Please contact us first and we will look for the best solution. No combined shipping offered with this item. Payment is expected by the third business day after winning the item. We will open an unpaid item case if we haven’t heard from you by then. We only ship to the 48 contiguous states. Please check the shipping charges in this listing before bidding. Local pick-up during business hours is available in our Freehold New ... moreJersey location.
Lam Research 4520 Plasma Etcher
Lam Research 4520 Plasma Etcher SHIPPING NOTICE: This will need to be shipped by freight because of the size and weight. An additional $70.00 will be charged for a residential delivery. If lift gate delivery would also be an additional $70.00. Lam Research 4520 Plasma Etcher Used but in good condition. I was told by the seller that this unit came out of a working environment. But can not verify that. I have no way of testing or evaluating this item. The inside looks clean. It also includes the cable harness and power cord as shown. I took many pictures and so please check them carefully. It only comes with what is shown in the pictures. I have other equipment listed seperately that came with this item that is most likely related. Such as a Lam AC/AC-Converter-TCP and a Lam remote control panel on a mobile cart. Please note that we(Kellan ... moreInc) are a commercial and industrial surplus product dealer. We acquire our products from company closures. Obsolete systems, government surplus, overstock, etc. Unless otherwise indicated, all products include our 14-day warranty. However, most products do not include a"manufacturer's warranty" Products are tested to the best of our abilities. This can include a power-up test. Navigating menus, performing simple operational tests, etc. We do not always have the resources and/or abilities to fully test each item we sell. However, we do guarantee and warranty all of our products(unless otherwise advertised"as is" broken" etc. Our standard guarantee/warranty period is 14 days. This period begins on the delivery date. This gives the customer enough time to receive, inspect, and test the product- to make sure the product is satisfactory. If there are any issues, please contact us. We present our surplus products as accurately as possible. Please note that our products only include the pictured items. If an item is not in the picture(i.e. a power adapter. Electrode, original packaging, etc. it is likely not included. That rule applies to"new in box&qu
Nordson March PM-600 Barrel Plasma Etcher
Nordson March PM-600 Barrel Plasma Etcher This is another fine Gizmo from SurplusGizmos located in Hillsboro Oregon. Nordson March PM-600 Barrel Plasma Etcher This is another fine Gizmo from SurplusGizmos located in Hillsboro Oregon. You may be interested in. Nordson March PM-600 Barrel Plasma Etcher Item Description and Features: Please see pictures and the link below for more details. This was pulled out of a working clean room. Quartz interior. Includes a Thermovac TM22 Please see our shipping policies located further down before bidding on this item. If you are an international buyer and we make an agreement to ship to a domestic address. We will only ship to that domestic address once the transaction has gone through. It will be your responsibility to handle the international shipment. Please pay as soon as possible. If you do not pay ... morewithin three days(of receiving the invoice) Please contact us or respond to our messages. If you do not pay and do not respond to our messages, we will be forced to open an unpaid item case after 7 days. It is polite Ebay behavior to pay within a few days or less if immediate payment is not possible. Please look over the photos carefully and do not assume anything about the item(s) included in this listing. This item is sold as you see in the pictures. Unless otherwise stated. If it is not pictured, it is not included. We are not experts and do not claim to be with any of the items we sell. We do the best research we can in order to properly represent the item(s) as clearly and honestly as possible. We strongly encourage buyers to do their own research beforehand. If you have any questions, please ask before you make your purchase and we will do our best to answer your questions to the best of our abilities. Item Condition: Used. Pulled from a working clean room. Door latch might be faulty or we aren't using it correctly. Full operating condition unknown due to inability to test. See pictures for more details. Item is sold"as is" No guarantee Testing Done: No test
IPC Branson Dionex Plasma Etcher Reactor Center with RF Generator and Sequencer
IPC Branson Dionex Etcher Reactor Center with RF Generator and Sequencer Unit Asher Plasma Branson International Plasma Corporation IPC a SmithKline Company Reactor Center PM-21020 Dionex Gas Plasma Systems PM112-1500 Watt Generator Dionex Gas Plasma Systems PM906U-4 Integrated Programmer Module POWER RATING.1500 watts continuous input REACTOR.2 ea 10" dia. x 20" long OPERATING PRESSURE RANGE.0.1- 10 torr VACUUM SEALS.Silicone O rings and bell jar gasket REACTOR WINDOW.Hinged and spring loaded. With latch for open position PRESSURE TRANSDUCER.Thermocouple type IMPEDANCE CONTROL.Matches from 10-1500 watts to present input impedance of 50 ohms at RF power input connector. Automatic impedance matching once preset. Controls FLOW. 3- ON/OFF FLOWMETERS. 3- Range 0-900cc/min. RF POWER CONTROL.3- ON/OFF WATTMETER.0-1000W Forward. 0-300W ... moreRef. VACUUM METER.0-20 Torr range 906U Operating Controls PURGE GAS. Switch VACUUM. Switch MODE SWITCH. Automatic or Manual control. Switch selective CYCLE. Push button to advance system logic to next state START. Push button to activate automatic sequence RESET. Push button to purge chamber. Reset auto sequence at end of cycle TIMER. 0-99.9 min digital timer This auction comes with two quartz chambers. A number of spare parts, o-rings, quartz glass parts. Items are believed to be accurately described but are sold as is and we are not experts on this equipment. This auction includes a 1500 watt RF generator.
Tegal Model 915/965 Plasma Etcher Operation / Maintenance Cleanroom Manuals
Tegal 915/965 Plasma Etcher Operation/ Maintenance Clean room Manuals Set of 2. 88-072-001 Used Manual will ship Fedx ground. So please included your phone# Quoted shipping price is for US customers only. We'll ship worldwide. But shipping cost will be determined at the time of purchase Logan Technologies 254-760-2424
Tegal March PLASMOD Tabletop Plasma Reactor Asher PCB Etching Etcher System
Offering a TEGAL PLASMOD TABLETOP Plasma Reactor Etcher Sold for parts or repair. Unit tested for power only! MAKE AN OFFER! Made in USA. Comes as pictured. Tested for power only. The unit was found to power normally with rear fan operating and the Eimac Tubes burning bright. I have absolutely no other way to test. Please note; The outer pyrex chamber is damaged. But the inner looks to be in excellent shape with zero visible defects. May need a proper cleaning but otherwise excellent. I also did a very detailed visual inspection of all interior components. All components and parts look to be intact and in great shape. Check the pictures carefully for a better idea of condition. Email if you have any questions. Measures 14 3/4" D x 10 3/4" H x 12" W. Manufactures Description: Tegal's PLASMOD is a tabletop plasma chemistry ... morereactor designed to provide the scientific and educational community with plasma technology at a moderate cost. Tegal is able to provide such capability by engineering a simple to operate instrument which can perform repeatable plasma chemical reactions with a minimum of automation. All controls are manual; however. Where necessary, automatic monitors and controls take over to protect the equipment and the samples in the reactor. The PLASMOD comes quipped with an internally housed RF generator. RF power is transferred from a power amplifier directly coupled to the reaction chamber through a matched impedance network. A variable capacitor provides fine tuning control for matching the output impedance of the RF generator with the capacitive load of the reaction chamber. An audible alarm sounds whenever the impedance match goes out of specification. This also aids in tuning since the alarm-off"window" corresponds to the"in tune" state of the machine. Instrumentation for the PLASMOD consists of a power tuning indicator. The audible tuning alarm, and provisions for several optional attachments. These include facilities for an externally mounted pressure transduce
SPI plasma prep II plasma etcher & NOS Fisher P40 vacuum pump,nice lot, nice buy
I have here for your consideration a working SPI Supplies Plasma-Prep II. Model 11005, plasma etcher. Included with the sale is a brand new(NOS) Thermo Fisher model P40 Vacuum pump as well as the vacuum instrumentation(sensor and display meter) When I say working, I mean that I plugged in the plasma prep, powered it up, and the vacuum tubes lit up. Beyond that, I do not have the knowledge to test the system. I bought out a storage unit that contained a bunch of lab equipment, and this is the first item that I am offering from that lot. All of the items are clean and in excellent condition, but I cannot offer a warranty. The lot is priced accordingly. This is a nice lot for a re-seller or someone that can use this equipment. My research shows that the vacuum pump alone retails for $3300. This is a lot sale of the three items shown, shipping ... moreis included in the selling price. 40g.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B%3E40g-14af661ed34-0x10e-
Gasonics Plasma Etcher / Asher Display Assembly, P/N 95-0296 Rev C
Gasonics Display Assembly"L-3510 or A-3010" Model 95-0296 Untested. Board will ship Fedx ground. So please included your phone# Quoted shipping price is for US customers only. We'll ship worldwide. But shipping cost will be determined at the time of purchase. Logan Technologies. LP 254-773-4070
C113695 SPI Structure Probe Plasma Prep II PPII Plasma Etcher w/ TC Vacuum Gauge
Click to Enlarge) Product ID# C113695 This SPI Supplies Division of Structure Probe. Inc. model PPII Plasma Prep II Plasma Etcher looks to be in good cosmetic condition, showing some signs of wear(please see the photos above for detail) It comes with a Televac II Vacuum Gauge with 2A Thermocouple(2-2100-10, 0-1,000 Microns) as shown. It powers up, as shown in the photos above. However, I lack the knowledge and equipment to formally test it, and it is being sold as-is. Approximate overall unpacked dimensions: 20"L x 12"W x 17"H. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms.All auctions are sold as advertised, as is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below Shipping. Buyer pays a fixed shipping ... moreand handling fee of 65 dollars(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) All international shipments(including Hawaii and Alaska residents) will be quoted based on winning bidders shipping address. The unpacked weight of this item is 37 pounds Orders will ship 1-2 business days(excludes weekends and holidays) after receipt of confirmed/verified Paypal payments.We do not process orders nor ship on weekends or holidays. Auction Terms. Upon end of auction. The winning bidder should proceed to"CheckOut" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as advertised. As is and without warranty. Questions should be asked prior to bidding as all sales are final PAYMENT TERMS ARE PREPAID ONLY. Payments. ALL AUCTIONS ARE PREPAID ONLY. We accept Paypal payments that are US and Canada Verified with ship-to US and Canada confirmed addresses only. We accept credit card payments through confirmed/verified Paypal accounts only. California and Texas Sales Tax For all California ship-to locations within Santa Clara County. Local sales tax applies. For all Californ
Lam Research Lam AutoEtch590 Plasma Etcher Dry Etcher Semiconductor equipment
It is complete. Working condition. But w e did not test the equipment. We sell the system at AS IS condition without warranty and refund.Inspection is available against appointment. Lam AutoEtch 590 description for reference only. 1.1 The Lam Research Corporation(LRC) Lam AutoEtch590 is an in-Line cassette to cassette. Fully automated, single wafer, double air-locked. Parallel plate plasma etching system. The etching program is saved on a recipe programming module. The entire Lam AutoEtch590 system is under automatic, closed-loop feedback control and is double keylocked to prevent accidental alteration of the process. The Lam AutoEtch590 has a CRT monitor display for monitoring the machine status and the process parameters. The operating pressure. RF power, electrode spacing, gas flow rates, and step terminating modes are programmable for ... moreeach step. 1.2 Lam AutoEtch590 plasma etcher plasma etch semiconductor equipment Process Chamber A wafer is transferred from a send cassette to the entrance station. From the entrance station. It moves into an entrance air lock and then into the process chamber where it is etched by a computer controlled gas plasma discharge. The wafer is then moved from the process chamber to the exit airlock, from the exit airlock to the exit station, and is finally transported to the receive cassette. The double airlocks allow the process chamber to remain under vacuum at all times. The process chamber is located behind the operator Interface display. The operator interface is hinged and will swing up allowing visual access to the process chamber. The process chamber is equipped with quartz windows on the front and back. Each· window has a wire mesh screen to contain the RF field and a plexiglass cover for UV filtering. The windows at low for observation of the etching process. The front window plate also serves as the mounting point for the capacitance manometer and a pressure switch. The major sub-systems of·the process chamber are: the Iower electrode assembly. The electrode gap
Lam AutoEtch 590 Plasma Etcher Used Semiconductor Equipment
Original Equipment Manufacturer: Lam Research Condition: AS IS condition(No chiller. No pump, With ENI OEM 12 RF Generator) Wafer Size: 6 inch configuration. Valid Time: Subject to prior sale Lead Time: Ready to go Location: Silicon Valley. CA, U.S.A. Warranty: No warranty and refund Lam AutoEtch 590 description for reference only. 1.1 The Lam Research Corporation(LRC) Lam AutoEtch590 is an in-Line cassette to cassette. Fully automated, single wafer, double air-locked. Parallel plate plasma etching system. The etching program is saved on a recipe programming module. The entire Lam AutoEtch590 system is under automatic, closed-loop feedback control and is double keylocked to prevent accidental alteration of the process. The Lam AutoEtch590 has a CRT monitor display for monitoring the machine status and the process parameters. The operating ... morepressure. RF power, electrode spacing, gas flow rates, and step terminating modes are programmable for each step. 1.2 Lam AutoEtch590 plasma etcher plasma etch semiconductor equipment Process Chamber A wafer is transferred from a send cassette to the entrance station. From the entrance station. It moves into an entrance air lock and then into the process chamber where it is etched by a computer controlled gas plasma discharge. The wafer is then moved from the process chamber to the exit airlock, from the exit airlock to the exit station, and is finally transported to the receive cassette. The double airlocks allow the process chamber to remain under vacuum at all times. The process chamber is located behind the operator Interface display. The operator interface is hinged and will swing up allowing visual access to the process chamber. The process chamber is equipped with quartz windows on the front and back. Each· window has a wire mesh screen to contain the RF field and a plexiglass cover for UV filtering. The windows at low for observation of the etching process. The front window plate also serves as the mounting point for the capacitance manometer and a pressure swit
Lam Research Remote Control Panel For 4520 Plasma Etcher
Lam Research Remote Control Panel For 4520 Plasma Etcher SHIPPING NOTICE: This will need to be shipped by freight because of the size and weight. An additional $70.00 will be charged for a residential delivery. If lift gate delivery would also be an additional $70.00. Lam Research Remote Control Panel For 4520 Plasma Etcher Used but in good condition. There is no model number on this unit. I was told by the seller that this unit came out of a working environment. But can not verify that. I have no way of testing or evaluating this item. It only comes with what is shown in the pictures. I have other equipment listed seperately that came with this item that is most likely related. Such as a Lam AC/AC-Converter-TCP and a Lam 4520 Plasma Etcher. Please note that we(Kellan Inc) are a commercial and industrial surplus product dealer. We acquire ... moreour products from company closures. Obsolete systems, government surplus, overstock, etc. Unless otherwise indicated, all products include our 14-day warranty. However, most products do not include a"manufacturer's warranty" Products are tested to the best of our abilities. This can include a power-up test. Navigating menus, performing simple operational tests, etc. We do not always have the resources and/or abilities to fully test each item we sell. However, we do guarantee and warranty all of our products(unless otherwise advertised"as is" broken" etc. Our standard guarantee/warranty period is 14 days. This period begins on the delivery date. This gives the customer enough time to receive, inspect, and test the product- to make sure the product is satisfactory. If there are any issues, please contact us. We present our surplus products as accurately as possible. Please note that our products only include the pictured items. If an item is not in the picture(i.e. a power adapter. Electrode, original packaging, etc. it is likely not included. That rule applies to"new in box" and"new, no box" items. Sometimes, if the original packag