Advanced Energy Pinnacle Dc Magnetron Wedge Bonder 13 56Mhz Rf Generator Dc Magnetron Power Supply Rf Plasma Mks Eni Rfpp Rf Rf Plasma Generator Plasma Generator Wedge Wire Bonder Advanced Energy Mdx Rf Match Amat Astex 13 56 Mhz 13.56Mhz Generator Amat Rf Generator Amat Comdel Power Supply Amat Type Vcr 13 56 Mhz Rf Slit Valve Wafer Prober 13 56Mhz Tel Unity Power Supply Ae Vacuum Gate Valve Mks Astex Gasonics Source Amat Wire Bonder Rf Power Generator Die Bonder 1 Torr Rf Generator Power Supply Temescal Digital Dynamics Uhv Mks Residual Gas Analyzer Kla Tencor Amat P5000 Karl Suss Uhv Vacuum Electroglas Amat Endura Wafer Transfer Ae Apex Board Amat Inficon Transpector Plasma Etcher 300Mm Wafer Asa Vacuum Leybold Inficon Mask Aligner Amat Mks 100 Torr Assembly Amat Vat Gate Sputtering Nikon Nsr Torr Pressure Transducer Anelva Seiko Seiki Vcr Female Pendulum Valve Unity Ii Baratron Pressure Transducer Ball Bonder Refurbished Mfc- 10 Torr Mks Baratron Capacitance Dc Plasma Transfer Robot Ultratech Stepper Rf Power Supply Ion Gauge Amat Quantum Daihen Turbo Pump Controller Mks Baratron Pressure Transducer Novellus Concept Cti Cryogenics Brooks Automation Micro Ion Power Supply Readout Precision Sensors Wafer Probe Mfc Brooks Solid State Power Generator Remote Plasma Source Pcb Board Card Bonder Refurbished Tel Unity Ii Amat Centura 2 Mks Pri Automation 200Mm Wafer Mks Hps Transfer Vacuum Valve Nikon Nsr-S307e Capacitance Manometer Genesis Rf Generator Ionizing Air 200 Sccm Baratron Capacitance Manometer Throttle Valve Right Angle Vacuum Valve Boc Edwards Probe Station Kla Ait Granville Phillips 500 Sccm Dc Plasma Generator Vacuum Deposition Pirani Gauge Temptronic Plasma Asher Bonder Model Thin Film Varian Turbo-V Vacuum Gauge Controller Vacuum Gate Valve Rebuilt 1000 Torr Turbo Molecular Pump Wafer Transfer Robot Advanced Energy Apex Riken Keiki Asml 4022 6 Asa Wafer Handler Boc Edwards Vacuum Rf Match Electron Beam Sccm N2 Kulicke Soffa Asm Epsilon 3200 Ae Rf Tel Unity 2 Prober Probe Etcher Hitek Power Pfeiffer Balzers Probe Manipulator Mks Astron Mks Instruments Type Vcr Female Wafer Handling 13 56 Mhz Power Match Network Adixen Alcatel Advanced Energy Rf Newport Optical Fixture Tel Tokyo Electron Sputter Convectron Gauge Mks Baratron Cold Cathode Gauge Ion Beam Throttle Valve Controller Celerity Mfc Ufc-1661 Cold Cathode Wafer Handling Robot Sputtering Power Supply Ultrasonic Generator Mks Type Genmark Vacuum Pendulum Valve Ion Source Wafer Prealigner Single Board Computer Assembly Rev 8 Wafer Ae Advanced Energy Hitachi S-9300 Plasma Etch 3013 Rf Valve Controller Vat Valve Active Gauge Wafer Loader Electron Gun Esi Cka Thermo Chiller Angle Valve Film Thickness Gauge Controller Asyst Technologies Nikon Nsr-S205c Signal Conditioner Tokyo Electron Pirani Vacuum Rf Match Network Cryo Pump Base Probe Manipulator Balzers Ion Pump Hitachi Mu-712E Glassman High Voltage Mdx Pinnacle
 In 
 
Order by: 
Available to: 
Price: 
 - 
This site contains affiliate links for which I may be compensated
$
900.00
Buy It Now
$60.00 Shipping
Condition: Used
Location: Temple, United States
Airpax Stepping Motors, 7.5 Degree Step, 5VDC (2). 4 Of These Units Are Mounted To One Bracket.
$
1698.30
Buy It Now
$108.93 Shipping
Condition: For parts or not working
Location: Merced, United States
NIKON NWL-641 WAFER LOADER FOR PARTS! Powers On!. For parts NOTES:We appreciate your business and want to make sure you’re always 100% satisfied with ... moreyour product!IF YOU HAVE ANY ISSUE: We kindly ask that you please first message us to work out any issue/concern as our team will assist you asap (usually within 24 hours M-F)WHATS INCLUDED: Please review pictures prior to purchase. ‼️ONLY comes with what's pictured. Products will not come with a power adapter unless shown or mentioned in the listing.‼️‼️ THIS LISTING IS FOR PARTS OR REPAIR ONLY AND IS LIKELY NOT WORKING. FOR PARTS ITEMS MOST LIKELY HAVE NOT BEEN TESTED OR HAVE ATLEAST ONE DEFECT FOUND. NO RETURNS ACCEPTED. ‼️
$
295.00
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: Salt Lake City, United States
UPPERARM LINK HOUSING. It appears this item is for a wafer loading robot. GENUINE OEM. Manufacturer refurbished. Quantity (1). Technical Details.
$
275.55
Buy It Now
$14.20 Shipping
Condition: Used
Location: Buda, United States
SN: TW63967. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson ... moreat getsparesllc. (See Hours of Operation, above).
$
250.55
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
System/Tool: P-8 Wafer Prober. It was removed from a P-8 tool. Part No: P-8 Tray Loader Assembly.
$
902.50
Buy It Now
$20.27 Shipping
Condition: Used
Location: Goleta, United States
See pictures for more detail. Manufacturer: Veeco. Type: Vacuum Wafer Chuck.
$
2010.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: Wafer Loader Indexer. This Nikon Wafer Loader Indexer is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. Removed from a Nikon NSR-S204B Step-and-Repeat Scanning System.
$
401.57
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: 200mm Wafer Cassette Loader. The physical condition is good, with light signs of use. These products are subject to export restrictions under ... moreU.S. law. Set of 2 (Left & Right).
$
305.55
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Nikon 200mm Wafer Indexer Lift with Loader is used, working surplus. The physical condition is good, but there are signs of previous use and handling. ... moreRemoved from a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection System.
$
750.00
Buy It Now
Condition: For parts or not working
Location: Bosque Farms, United States
For us to check rates, we need the following info: 1. MARCH 2024. We do not have power cords to provide unless they are attached to the item or unless ... morestated that they are provided. If one is pictured it is for testing purposes only unless it is attached or stated that it will be included.
$
1904.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This GaSonics A-2000LL wafer loader transfer arm is used working surplus. The end effector is missing on this unit. There are minor scratches and blemishes ... moreon this unit from previous use. Otherwise the physical condition is good and clean. This unit was pulled off a GaSonics A-2000LL. System: GaSonics A-2000LL Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 20” x 20” x 16” 40 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ6 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All ite
$
342.99
Buy It Now
$7.83 Shipping
Condition: Used
Location: Boise, United States
SN: B70104. FIVE-ONE-TWO-NINE-TWO-EIGHT-FIVE-FIVE-FIVE-THREE CORPORATE OFFICE. TWO-ZERO-EIGHT-TWO-EIGHT-FOUR-FIVE-TWO-TWO-THREE E-BAY INFORMATION. TWO-ZERO-EIGHT-NINE-EIGHT-TWO-ONE-EIGHT-SIX-TWO ... moreDIRECT LINE.
$
300.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
However, I have no way of powering it up or testing it, and it is being sold as-is.
$
812.50
Buy It Now
$125.50 Shipping
Condition: Used
Location: Billings, United States
$
499.99
Buy It Now
Free Shipping
Condition: Used
Location: Boise, United States
_gsrx_vers_827 (GS 7.0.13 (827)).
$
233.99
Buy It Now
Free Shipping
Condition: Used
Location: Ventura, United States
We're down to earth, easy to work with people.
$
2011.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary.
$
5008.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Nikon VB-001 is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from a Nikon NSR-S204B ... moreStep-and-Repeat Scanning System. Removed from a Nikon NSR-S204B Step-and-Repeat Scanning System.
$
95.00
Buy It Now
$13.21 Shipping
Condition: New – Open box
Location: Milpitas, United States
Farmon I.D. 418350. IBM IC Electronics ICP Electronics Inc. ICS IDEC IDL Semiconductor IDX IEE IGC Polycold System Inc. Imtec Acculine IN USA INA InBus ... moreEngineering Industrial Computers Inficon Infranor Innova Electronics Integrated Circuit Dev.
$
1850.99
Buy It Now
$389.77 Shipping
Condition: Used
Location: Santa Clara, United States
The first unite was tested with 4” wafer cassette no wafers and no vacuum. ONE OF THE UNITS DOESN'T HAVE ONE SIDE COVER.
$
170.00
Buy It Now
$13.60 Shipping
Condition: New
Location: Milpitas, United States
Farmon I.D. 422904. IBM IC Electronics ICP Electronics Inc. ICS IDEC IDL Semiconductor IDX IEE IGC Polycold System Inc. Imtec Acculine IN USA INA InBus ... moreEngineering Industrial Computers Inficon Infranor Innova Electronics Integrated Circuit Dev.
$
2200.00
Buy It Now
$200.00 Shipping
Condition: Used
Location: Fairport, United States
NIKON Corporation NWL-641, Model WL-2 number 200529 Wafer Loader. A-MR
$
4500.00
Buy It Now
$141.02 Shipping
Condition: For parts or not working
Location: Austin, United States
These products are subject to export restrictions under U.S. law.
$
1249.99
Buy It Now
$220.74 Shipping
Condition: Used
Location: Phoenix, United States
Nikon NWL-640 Wafer Loader for 100 mm, 125 mm, and 150 mm Wafer Sizes. The units power up but we do not have expertise to test them in full. None of them ... morehave the wafer holder. Wafer Extraction Procedure: Designated pocket.
$
65.00
Buy It Now
Free Shipping
Condition: Used
Location: Peabody, United States
Working, comes as pictured
$
100.00
Buy It Now
$13.60 Shipping
Condition: New – Open box
Location: Milpitas, United States
Farmon I.D. 418841. IBM IC Electronics ICP Electronics Inc. ICS IDEC IDL Semiconductor IDX IEE IGC Polycold System Inc. Imtec Acculine IN USA INA InBus ... moreEngineering Industrial Computers Inficon Infranor Innova Electronics Integrated Circuit Dev.
$
452.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: 100111. Model No: OPERATOR INTERFACE. Inventory # A-14289. ... moreIEE Display Board Part No: 05464ASSY335398-03 A. Serial numbers or country of manufacture may vary.
$
2999.99
Buy It Now
$45.00 Shipping
Condition: Used
Location: Crystal Lake, United States
Qs eight for seven two one seven five five for six.
$
995.00
Buy It Now
Free Shipping
Condition: Used
Location: Killeen, United States
This was removed from a Centura Front Loader. Applied Materials Wafer Holder Assy. Can be used for 200MM or 300MM Wafers by re adjusting centering holes. ... moreCurrently set up for 200MM operation.
$
499.99
Buy It Now
Free Shipping
Condition: Used
Location: Boise, United States
All pictures are of actual item for sale.
$
4000.00
Buy It Now
$600.00 Shipping
Condition: Used
Location: Ireland
Wafer Detect Detector/Loader. DN-50914171-EX50-2E 003. RTX No. 900857.
$
20900.00
Buy It Now
Condition: Used
Location: Goleta, United States
See pictures for more detail. (See pictures for more detail.). Veeco Wyco M3307 Wafer Spin Coater Developer Photo Resist w/ Computer + Software for Semiconductor ... moreProcessing 9105. SSEC M3307 Wafer Spin Coater.
$
120.00
Buy It Now
$60.00 Shipping
Condition: New – Open box
Location: South Korea
$
165.00
Buy It Now
$18.59 Shipping
Condition: New – Open box
Location: Milpitas, United States
Farm on ID 413860. Fastcom Faulhaber Federal Pioneer Federal Products Co. Futaba Futurestar Galil Motion GaSonics Gast GasTech Gaston Gates GC Valves ... moreGD California GE Fanuc GE General Electric GE Industrial Services Gems Sensors GEMU General Monitors General Precision General Scanning Genie Industries Genmark Automation GenRad Genwac Georg Fischer Geotest Gespac GF Signet Glassman Glentek Global Laser Gluton West Go Inc.Gould GPI General Precision GPI General Precision Inc.
$
75.00
Buy It Now
Free Shipping
Condition: Used
Location: San Jose, United States
NOTE: IT USE ITEM SCUFFS SCRATCHES ALOTS ALL AROUND THE CASE, NOMAL USE. We will not be responsible for any incompatibility issues with your unit. NOTE: ... moreSEE PIC WHAT YOU GET FOR MORE DETAIL. OTHER ACCESSORIES NOT SHOWN IN PICTURE ARE NOT INCLUDED!
$
250.00
Buy It Now
$13.60 Shipping
Condition: New – Open box
Location: Milpitas, United States
Farmon I.D. 415234. IBM IC Electronics ICP Electronics Inc. ICS IDEC IDL Semiconductor IDX IEE IGC Polycold System Inc. Imtec Acculine IN USA INA InBus ... moreEngineering Industrial Computers Inficon Infranor Innova Electronics Integrated Circuit Dev.
$
402.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Cryco 100111 Wafer Loader Operator Interface PCB Assembly 03601-95 No Key is used working surplus. The physical condition is good, but there are ... moresigns of previous use and handling. Part No: 100111.
$
169.99
Buy It Now
$85.00 Shipping
Condition: For parts or not working
Location: Avondale, United States
Nikon NWL-640 Microscope Wafer Loader/Handler 100,125,150 mm 4", 5", 6". see pictures for more details thank you for bidding
$
150.00
Buy It Now
Free Shipping
Condition: Used
Location: Billings, United States
Rolls and lifts boat of silicon wafers into an atmoscan process tube. Amtech Trolley 4" Long Boat Complete, Used.
$
99.00
Buy It Now
$100.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Click here to see description.
$
199.00
Buy It Now
$20.50 Shipping
Condition: Used
Location: Salt Lake City, United States
Wafer Handling Loader Robot Arm, Unknown Maker . Parts Only.
$
1520.00
Buy It Now
Free Shipping
Condition: Used
Location: Israel
The pictures that you see are the real pictures of one the item, i.e., “what you see is what you get”. We have no testing capabilities. The item is sold ... moreuntested. The item looks in good condition.
$
200.00
Buy It Now
$7.83 Shipping
Condition: Used
Location: Goleta, United States
This is a lightly used ASYST technologies pc board taken from a working IsoPort wafer loader. All connection ports are clean. Minimal signs of use present ... moreon screw ports from previous mounting. We are unable to test this item.
$
181.05
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. These products are subject to export restrictions under U.S. law. Part ... moreNo: 0100-09706.
$
1256.07
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi M-511E Wafer Cassette Ergo Loader is used working surplus. The physical condition is great, but there are signs of previous use and handling. ... moreRemoved from a Hitachi M-511E Microwave Plasma Etching System.
$
1749.99
Buy It Now
$100.00 Shipping
Condition: New – Open box
Location: Lake Forest, United States
We do our best to describe everything.
$
1282.50
Buy It Now
$80.13 Shipping
Condition: Used
Location: Goleta, United States
Type: SAT Spray Acid Etcher Wafer Loader. Manufacturer: Applied Process Technology. Removed from working unit. See pictures for more detail. Get Supersized ... moreImages & Free Image Hosting.
$
350.00
Buy It Now
$35.00 Shipping
Condition: Used
Location: Dallas, United States
Wafer Loader Robotic Arm. Looks good, moves freely, not tested.
$
95000.00
Buy It Now
Condition: Used
Location: San Jose, United States
Prober ID 9390088LZ, User ID=04011010. Chuck temp= Room and Hot=200c. Chuck: 300mm. Wafer Size= 200mm and 300mm. Cassette= Front open FOUP 300mm and 200mm ... morecassette. We have 2 units available both units are currently used with ring holder to work with 4.5" probe card.
$
39000.00
Buy It Now
Condition: For parts or not working
Location: Freehold, United States
Dual chamber unit with robot loader. Currently configured with nine MFC. Was lasted used to process 4" wafers.
$
1800.00
Buy It Now
$390.00 Shipping
Condition: Used
Location: South Korea
RORZE LS-209 WAFER LOADER FROM FABS . Manufacturer: RORZE.
$
150.00
Buy It Now
$11.75 Shipping
Condition: New
Location: Milpitas, United States
Farmon I.D.417881. IBM IC Electronics ICP Electronics Inc. ICS IDEC IDL Semiconductor IDX IEE IGC Polycold System Inc. Imtec Acculine IN USA INA InBus ... moreEngineering Industrial Computers Inficon Infranor Innova Electronics Integrated Circuit Dev.
$
99.00
Buy It Now
$10.51 Shipping
Condition: Used
Location: Ontario, United States
Used console.
$
1800.00
Buy It Now
$390.00 Shipping
Condition: Used
Location: South Korea
RORZE LS-208 WAFER LOADER FROM FABS . Manufacturer: RORZE.
$
250.00
Buy It Now
$18.59 Shipping
Condition: New
Location: Milpitas, United States
Fastcom Faulhaber Federal Pioneer Federal Products Co. Futaba Futurestar Galil Motion GaSonics Gast GasTech Gaston Gates GC Valves GD California GE Fanuc ... moreGE General Electric GE Industrial Services Gems Sensors GEMU General Monitors General Precision General Scanning Genie Industries Genmark Automation GenRad Genwac Georg Fischer Geotest Gespac GF Signet Glassman Glentek Global Laser Gluton West Go Inc.Gould GPI General Precision GPI General Precision Inc.
$
5500.00
Buy It Now
Condition: Used
Location: Schenectady, United States
Quality Surplus. Green Impact. HVAC & Refrigeration. Everything included is shown in the photos. If there are multiple available for sale you may not ... morereceive the one photoed, but they are all in similar condition.
$
1505.12
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The unit has scuffsThe physical condition is good, but there are signs of previous use and handling. Part No: Wafer Tray Loader Assembly. Serial numbers ... moreor country of manufacture may vary.
$
925.00
Buy It Now
$296.74 Shipping
Condition: Used
Location: Hutto, United States
Nikon NWL-640 Wafer Loader WL-2. (4”5”6”mm). Lot Of 2 PCs.. Used untested. Used for parts. Good Condition.
$
1274.40
Buy It Now
$18.31 Shipping
Condition: Used
Location: Canada
$
1099.99
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Boise, United States
SVG 90S Pneumatic Wafer Loader Assembly 99-54233-01 ASML Silicon Valley Group This item qualifies for eBay Fast N Free- 1 day handling and free domestic ... moreshipping with FEDEX GROUND. AK HI to pay actual shipping. We care enough to take multiple pictures of our items for sale and all pictures are of the actual item for sale. This item is ready to be shipped upon your purchase. Please email us if you have any questions on this item. We have all of the parts from the SVG 90 Machine that was decommissioned. Please email us for any other parts you may need. All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 14 day not DOA satisfaction guarantee. GC_gsrx_vers_486(GS 6.5.1(486) 2p5ddv.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B26rk%3E2p5ddv-13f2bffaa66-0xf8-
1 2 3
 In 

Former Listings  
 
Lot 2 SVG ASML Robot Indexer Stage Assembly Robotic Wafer Handling Loader Lifter
Payment Policy Brand New Sony CyberShot 8 MP Di Lot 2 SVG ASML Robot Indexer Stage Assembly Robotic Wafer Handling Loader Lifter Attention All Buyers If there is anything wrong with the item that you have purchased. Please do not leave negative feedback and do not open any disputes with eBay or PayPal. Just contact us directly and we will fix the problem quickly. Item Description Lot 2 SVG ASML Robot Indexer Stage Assembly Robotic Wafer Handling Loader Lifter Used Condition Lot of 2 SVG/ ASML Robot Loader Lifter Robotic Wafer Handling Indexer Stage Assemblies INV# 20G71141-11-12 Item Condition Used Condition Actual Picture of the item is provided. What you see in the picture(s) is what you get Note: In Quantity Auctions. The serial number of the item that you receive may vary from the item shown above. Payment Policy 1) PayPal: We accept ... morePayPal from all verified accounts. Note: For large payments or payments from some foreign countries we may require other electronic means of payment. 2) Credit Cards: We accept credit card payments through PayPal only. 3) Checkout: All US buyers should follow eBay checkout process to receive the proper invoice. International buyers should contact us to for an update on the shipping costs before they can follow eBay checkout. 4) Sales Tax: Californian buyers pay a Sales Tax of 8.625% unless a valid Resale Certificate or Tax exemption Certificate number is remitted by email or fax prior to submitting payment. 5) Checkout: An automated Non-Paying Bidder Alert will be filed if payment is not received in 4 days from the end of the auction. 6) Questions: For any questions. Please contact us directly from the auction page click on our ID(supertechshop) and then click on(Contact Seller) link. Shipping& Handling Policy 1) Handling Time: We ship packages in 1 business day after receiving payment. However larger items and quantity orders take more time to pack. 2- Shipping Method: Our standard carrier is UPS for all domestic and international orders. However we may prefer to ship it
C100113 Shin-Etsu Polymer MW300G-A 300mm 12-inch 12" Wafer Loader Purity Shuttle
C100113 Shin-Etsu Polymer MW300G-A 300mm 12-inch 12" Wafer Loader Purity Shuttle(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# C100113 This Shin-Etsu Polymer MW300G-A 300mm 12-inch 12" Wafer Loader Purity Shuttle looks to be in good cosmetic condition. Showing some minor signs wear. Sold as-is. Approximate overall unpacked dimensions: 16"L x 15"W x 13"H. P54. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below Shipping. Buyer pays a fixed shipping and handling fee of $37.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) All international ... moreshipments(including Hawaii and Alaska residents) will be quoted based on winning bidders shipping address. The unpacked weight of this item is 11.00 Pounds Orders will ship 1-2 business days(excludes weekends and holidays) after receipt of confirmed/verified Paypal payments. We do not process orders nor ship on weekends or holidays. Auction Terms. Upon end of auction. The winning bidder should proceed to"CheckOut" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as advertised. As is and without warranty. Questions should be asked prior to bidding as all sales are final PAYMENT TERMS ARE PREPAID ONLY. Payments. ALL AUCTIONS ARE PREPAID ONLY. We accept Paypal payments that are US and Canada Verified with ship-to US and Canada confirmed addresses only. We accept credit card payments through confirmed/verified Paypal accounts only. California Sales Tax For all California ship-to locations within Santa Clara County. Local sales tax applies. For all California ship-to locations out of Santa Clara County. The current basic state tax rate applies. Orders will be placed on hold for non-payme
AMAT Cassette Indexer 5" Wafer Loader Ferro Drive Implant
AMAT Cassette Indexer 5" Wafer Loader Ferro Drive Implant Please contact us for more information. Thanks for looking. PLEASE NOTE: INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES
C100144 Asyst Technologies 300FL, S2.1 25WFR SMIF Loader Wafer Robot Load Port
C100144 Asyst Technologies 300FL. S2.1 25WFR SMIF Loader Wafer Robot Load Port(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# C100144 This Asyst Technologies 300FL. S2.1 25WFR SMIF Loader Wafer Robot Load Port(p/n 9700-5158-01 Rev. C) looks to be in good cosmetic condition, showing some signs of wear. However, I have no way of testing it, and it is being sold as-is. Approximate overall unpacked dimensions: 25"L x 19"W x 54"H. P54. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below. Shipping LTL Freight. The unpacked weight of this item is 130.00 Pounds Buyer pays ... morefreight plus a fixed handling fee of $20.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) Freight charges are prepaid and will be billed based on the buyers confirmed shipping address. To avoid invoicing delays on freight items. Winning bidders should contact us through eBay with their confirmed ship to address and details on the following: Company Name: Contact Person: Contact Phone Number: Ship to Address. City, State and Zip Code: Country: Business or Residential Delivery? Is there a Dock or Forklift available? Delivery Hours: Lift-gate Delivery required? Are there any delivery restrictions? Are we delivering to a University. Strip Mall or Inside Delivery? Freight quotes and charges will be billed within 3-5 business days of receipt of shipping details above(please note international freight quotes may take longer) Freight rate quotes are valid for 10 calendar days from the date quoted. If a cleared payment has not been received in this time frame. The rate will expire. We reserve the right to re-quote the freight charges in the event of a payment delay. Auction Terms. Upon end of auction. The winning bidder should proceed to"Check Out
C100144-P54 Asyst Technologies 300FL, S2.1 25WFR SMIF Loader Wafer Load Port
C100144-P54 Asyst Technologies 300FL. S2.1 25WFR SMIF Loader Wafer Load Port(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge)  Product ID# C100144 This Asyst Technologies 300FL. S2.1 25WFR SMIF Loader Wafer Robot Load Port(p/n 9700-5158-01 Rev. C) looks to be in good cosmetic condition, showing some signs of wear. However, I have no way of testing it, and it is being sold as-is. Approximate overall unpacked dimensions: 25"L x 19"W x 54"H. P54. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms.  All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below.  Shipping LTL Freight. The unpacked weight of this item is 130.00 ... morePounds Buyer pays freight plus a fixed handling fee of $20.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) Freight charges are prepaid and will be billed based on the buyers confirmed shipping address. To avoid invoicing delays on freight items. Winning bidders should contact us through eBay with their confirmed ship to address and details on the following: Company Name: Contact Person: Contact Phone Number: Ship to Address. City, State and Zip Code: Country: Business or Residential Delivery? Is there a Dock or Forklift available? Delivery Hours: Lift-gate Delivery required? Are there any delivery restrictions? Are we delivering to a University. Strip Mall or Inside Delivery? Freight quotes and charges will be billed within 3-5 business days of receipt of shipping details above(please note international freight quotes may take longer) Freight rate quotes are valid for 10 calendar days from the date quoted.  If a cleared payment has not been received in this time frame. The rate will expire. We reserve the right to re-quote the freight charges in the event of a payment delay. Auction Terms. Upon end of auction. The win
C100110-P54 Asyst SMIF-Pod 300mm 12-inch 12" Wafer Loader Carrier Pod
C100110-P54 Asyst SMIF-Pod 300mm 12-inch 12" Wafer Loader Carrier Pod(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# C100110 This Asyst SMIF-Pod 300mm 12-inch 12" Wafer Carrier Pod looks to be in good cosmetic condition. Showing some minor signs wear. Sold as-is. Approximate overall unpacked dimensions: 17"L x 14"W x 14"H. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below Shipping. Buyer pays a fixed shipping and handling fee of $37.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) All international shipments(including Hawaii and Alaska ... moreresidents) will be quoted based on winning bidders shipping address. The unpacked weight of this item is 13.00 Pounds Orders will ship 1-2 business days(excludes weekends and holidays) after receipt of confirmed/verified Paypal payments. We do not process orders nor ship on weekends or holidays. Auction Terms. Upon end of auction. The winning bidder should proceed to"CheckOut" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as advertised. As is and without warranty. Questions should be asked prior to bidding as all sales are final PAYMENT TERMS ARE PREPAID ONLY. Payments. ALL AUCTIONS ARE PREPAID ONLY. We accept Paypal payments that are US and Canada Verified with ship-to US and Canada confirmed addresses only. We accept credit card payments through confirmed/verified Paypal accounts only. California Sales Tax For all California ship-to locations within Santa Clara County. Local sales tax applies. For all California ship-to locations out of Santa Clara County. The current basic state tax rate applies. Orders will be placed on hold for non-payment of taxes.
KLA Lightning 1201 Wafer Prober Loader Controller
Just in from a local aerospace company sale comes this surplus KLA Lightning 1201 Wafer Prober Loader Controller. Working pull All items with power cords are tested for simple power on only. It is presumed good( unless otherwise noted) but sold as shown and as-is. Paypal due immediately/ Local Pick-up available 8am-12noon weekdays from 91355/ 9% Calif. sales tax required WE SHIP FAST! Location/ warehouse 2 rolling cart
NSR 17 Tazmo Robot Controller 4S064-549 Nikon NSR-S205C Wafer Loader working
This NSR 17 Tazmo robot controller 4S064-549 is used working surplus. The physical condition of the robot controller is good and clean. It was removed from a Nikon NSR-S205C Wafer Loader. Part No: 4S064-549 Model No: NSR 17 Made in Japan. Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 16"x 16" x 10@ 8-9 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details ... moreBelow) Lister AZ1 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged or palle
Nikon Robot WJR42380D Nikon NSR-S205C Wafer Loader System working
This Nikon Robot WJR42380D is used working surplus. The physical condition of the robot is good and clean. It was removed from a Nikon NSR-S205C Wafer Loader system. Part No: WJR42380D Condition: Used Working. 90 Day Warranty. Estimated Packed Shipping Dimensions: L x W x H = 16"x 16"x 16" 14 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ1 Can't find the answer you're ... morelooking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged or palletized and shipped via the best and most economical
Nikon NSR-S205C Wafer Loader Pneumatic Wafer Guide Assembly Z-X4/12-A2902C
This Nikon NSR-S205C wafer loader pneumatic wafer guide assembly is used working surplus. The physical condition of the guide assembly is good and clean. But may have minor scratches from use and handling. It was removed from a Nikon NSR-S205C system. Assembly# Z-X4/12-A2902C Removed from: Nikon NSR-S205C Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the ... moreone that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ#2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will shi
Nikon NSR-S205C Wafer Loader Cassette Elevator Z-X4/12-A1903C 4S013-354 CSTLNK
This Nikon NSR-S205C wafer loader cassette elevator is used working surplus. The physical condition of this wafer loader cassette elevator is good and clean. But may have minor scratches from use and handling. It was removed from a Nikon NSR-S205C system. Assembly Part# Z-X4/12-A1903C Assembly Description# Wafer Loader Cassette Elevator Circuit Board Part# 4S013-354 Rev A Circuit Board Model# CSTLNK Removed from: Nikon NSR-S205C Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test ... moreequipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ#2 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXI
C105307-P54 Asyst Technologies SMIF-300FL, S2.1 25WFR Loader Wafer Load Port
Click to Enlarge) Product ID# C105307 This Asyst Technologies SMIF-300FL. S2.1 25WFR SMIF Loader Wafer Robot Load Port(p/n 9700-5158-01 Rev. E) looks to be in good cosmetic condition, showing some signs of wear. However, I have no way of testing it, and it is being sold as-is. Approximate overall unpacked dimensions: 25"L x 19"W x 54"H. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms.All auctions are sold as advertised, as is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below. Shipping LTL Freight. The unpacked weight of this item is 130 pounds Buyer pays freight plus a fixed handling fee of 20 dollars(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) Freight charges are prepaid ... moreand will be billed based on the buyers confirmed shipping address. To avoid invoicing delays on freight items. Winning bidders should contact us through eBay with their confirmed ship to address and details on the following: Company Name: Contact Person: Contact Phone Number: Ship to Address. City, State and Zip Code: Country: Business or Residential Delivery? Is there a Dock or Forklift available? Delivery Hours: Lift-gate Delivery required? Are there any delivery restrictions? Are we delivering to a University. Strip Mall or Inside Delivery? Freight quotes and charges will be billed within 3-5 business days of receipt of shipping details above(please note international freight quotes may take longer) Freight rate quotes are valid for 10 calendar days from the date quoted. If a cleared payment has not been received in this time frame. The rate will expire. We reserve the right to re-quote the freight charges in the event of a payment delay. Auction Terms. Upon end of auction. The winning bidder should proceed to"Check Out" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as adver
C105306-P54 Asyst Technologies SMIF-300FL, S2.1 25WFR Loader Wafer Load Port
Click to Enlarge) Product ID# C105306 This Asyst Technologies SMIF-300FL. S2.1 25WFR SMIF Loader Wafer Robot Load Port(p/n 9700-5158-01 Rev. C) looks to be in good cosmetic condition, showing some signs of wear. However, I have no way of testing it, and it is being sold as-is. Approximate overall unpacked dimensions: 25"L x 19"W x 54"H. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms.All auctions are sold as advertised, as is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below. Shipping LTL Freight. The unpacked weight of this item is 130 pounds Buyer pays freight plus a fixed handling fee of 20 dollars(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) Freight charges are prepaid ... moreand will be billed based on the buyers confirmed shipping address. To avoid invoicing delays on freight items. Winning bidders should contact us through eBay with their confirmed ship to address and details on the following: Company Name: Contact Person: Contact Phone Number: Ship to Address. City, State and Zip Code: Country: Business or Residential Delivery? Is there a Dock or Forklift available? Delivery Hours: Lift-gate Delivery required? Are there any delivery restrictions? Are we delivering to a University. Strip Mall or Inside Delivery? Freight quotes and charges will be billed within 3-5 business days of receipt of shipping details above(please note international freight quotes may take longer) Freight rate quotes are valid for 10 calendar days from the date quoted. If a cleared payment has not been received in this time frame. The rate will expire. We reserve the right to re-quote the freight charges in the event of a payment delay. Auction Terms. Upon end of auction. The winning bidder should proceed to"Check Out" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as adver
2057 (2) OLYMPUS WAFER LOADER METAL SCOPE PE0233
2057(2) OLYMPUS WAFER LOADER METAL SCOPE PE0233 Please refer to the photos for a clearer description of the item. Please note that we are selling items which have been previously owned and used. We are unable to fully evaluate this item. And hence are offering it on a“AS IT IS" basis. You may request us to powerup the equipment and we can tell you the result BUT we are in no position to guarantee or warranty the products in any means. As some of the items being offered on sale has multiple stocks in inventory, thus the stated serial number in the picture description may vary. In the case where an item is stated to be in good condition, we refer to it to being in good condition in relation to its age, and we would like to emphasize that in no way do we claim that it is a new item. If the item is brand new, it would be clearly indicated ... moreby the keyword"NEW" in the item description. The detailed photos as provided serves as a good guide for one to evaluate the condition of the actual item. Although sometimes we may display more than one similar item in the same picture, unless otherwise stated, we sell our items on per unit basis. We guarantee that absolutely no re-touching of the images has been done. We will readily answer any questions/queries with regards to any items on offer, and will provide additional information/photos at your request. For more similar products that we offer on internet, you can go to our web page bearing the store name ie J316gallery.com(in the near future) Shipping cost: Local pickup in Singapore could be arranged for FREE depending on the availability of consol to the place of delivery. For delivery to other destinations in the world, please kindly contact us for a quotation of the shipping cost. For other technical query, you can contact us via Ebay or pohyh@yahoo.com.sg. Delivery time: Unit will be packed and will be ready for shipment 1- 3 days after receipt of payment. Shipping note: Combined shipping is welcomed to reduce the total shipping cost. Powered by The free li
2059 NIKON NIDEK WAFER AUTO LOADER PE0353
2059 NIKON NIDEK WAFER AUTO LOADER PE0353 Please refer to the photos for a clearer description of the item. Please note that we are selling items which have been previously owned and used. We are unable to fully evaluate this item. And hence are offering it on a“AS IT IS" basis. You may request us to powerup the equipment and we can tell you the result BUT we are in no position to guarantee or warranty the products in any means. As some of the items being offered on sale has multiple stocks in inventory, thus the stated serial number in the picture description may vary. In the case where an item is stated to be in good condition, we refer to it to being in good condition in relation to its age, and we would like to emphasize that in no way do we claim that it is a new item. If the item is brand new, it would be clearly indicated by the ... morekeyword"NEW" in the item description. The detailed photos as provided serves as a good guide for one to evaluate the condition of the actual item. Although sometimes we may display more than one similar item in the same picture, unless otherwise stated, we sell our items on per unit basis. We guarantee that absolutely no re-touching of the images has been done. We will readily answer any questions/queries with regards to any items on offer, and will provide additional information/photos at your request. For more similar products that we offer on internet, you can go to our web page bearing the store name ie J316gallery.com(in the near future) Shipping cost: Local pickup in Singapore could be arranged for FREE depending on the availability of consol to the place of delivery. For delivery to other destinations in the world, please kindly contact us for a quotation of the shipping cost. For other technical query, you can contact us via Ebay or pohyh@yahoo.com.sg. Delivery time: Unit will be packed and will be ready for shipment 1- 3 days after receipt of payment. Shipping note: Combined shipping is welcomed to reduce the total shipping cost. Powered by The free listing
NIKON Model NWL-641 Wafer Loader, We cannot test so we are selling it as Parts
NIKON Model NWL-641 Wafer Loader. We cannot test so we are selling it as a Parts Unit Note the front has a Down for Repair sticker on the front. It was saved surplus WYSIWYG We never warranty calibration. Payment must be made within 3 days of the close of the auction. THERE IS NO PICK UP ONLY SHIPPING FOR THIS UNIT. WE WILL COMBINE SHIPPING WHEN POSSIBLE. BY BIDDING YOU CONFIRM THAT YOU HAVE READ. UNDERSTAND, AND AGREE TO OUR SALES TERMS. So be sure to email your questions before bidding. We strive for all 5 stars in Detailed Seller Ratings! by adding my eBay Store to your Favorites Check out my! Payment: Payment is required with in three days of the end of the auction. We accept the following payment methods: Paypal Credit Cards up to $2500.00(AMEX. MC, VISA) from US purchases only NO WIRE TRANSFERS~NO EXCEPTIONS Colorado residents pay state ... moresales tax. 2.9% Shipping: We will ship your item within 5 days after I receive your payment. Because we want your item to get to you in the same condition we send it. We are proud to ship products using Insured FedEx for your continuous US shipments. If you are a territory of the US. Hawaii or Alaska please email for a shipping quote, the posted amount does not apply to you. You will receive a tracking number directly from FedEx There is no pick up. No exceptions. We are not a retail outlet. All purchases over $100.00 inside the continental US will be insured at no additional charge. To insure there are no delays in your delivery. Please include your phone number in your Ebay address profile. We do not ship to PO Boxes. We cannot ship any item over 75lbs to a residential address. If the customer cancels after completion of the auction there will be a $20 charge, If you require a signature for your delivery. Please inform us BEFORE you pay your invoice because there is an extra charge by FedEx for this service. International Buyers MUST READ BEFORE BIDDING: IF YOU LIVE OUTSIDE THE CONTINUOUS US YOU MUST EMAIL AMELIA WITH YOUR COUNTRY. POSTAL CODE AND CITY TO GET A CORR
Hine Design / GASONIC Novellus Loader Elevator Top Indexer Wafer Semiconductor
Click Here. Double your traffic. Get Vendio Gallery- Now FREE! Hine Design/ GASONIC Novellus Loader Elevator Top Indexer Wafer Semiconductor ​Hine Design/ GASONIC/ Novellus Loader Elevator Top Indexer Wafer Semiconductor This item looks very nice. It was Repaired/ Refurb by Intel& been in storage since. It is a very nice unit. You are bidding on what is pictured. THANKS for having a look. Except for what is shown in the photos. This item does not include any other documentation, accessories, cables or software unless stated. Images are of the item we are selling unless otherwise noted. Shipping Cost: This will be shipped by UPS ground as soon as payment is received. The buyer pays the actual Shipping Rate: UPS Ground/ Insured(USA only) International bidders contact us for shipping rate International customers are responsible for any and ... moreall customs or duty fees charged upon receipt in your country. Those fees are not included in this sale. Shipped vis USPS) ALL INTERNATIONAL SALES ARE SOLD AS IS I have a huge assortment of Optical Parts& Equipment listed on Ebay and in My Ebay Store. Items have a 10-Day Warranty from the day you obtain them. If for some reason the item does not work properly or is different from the listing description- then we will accept a return on the item and provide a refund or exchange. Original shipping paid to obtain the item is non-refundable) However. If you buy an item by mistake or you buy a working item& you realize that you simply don't want or need it- there will be a 20% restocking fee. So please research the item you are buying and asks us questions. Payment Methods: For domestic payments we accept most major credit cards(Visa. Amex, and Mastercard) We accept Pay Pal Payments for varified shipping address. This is our preferred method of payment) For International sales- Bank Wire Transfer(add 25.00) Paypal- if pre approved) Recipient is responsible for all customs. Duties and taxes incurred and payable to the carrier. Buyer must contact seller w
LUDL / LEP Dual Arm Wafer Loader Robot, needs repair or refurb
LUDL/ LEP Dual Arm Wafer Loader Robot. Needs repair or refurbisment. I tried to test this unit, and it did not rotate and had some Z axis issues. It is being sold, as is, untested, as shown in photos. Payment is due within 3 days of the end of the auction. Payment can be made via PayPal or Wire Transfer. Buyer may ship this item on his or her FedEx Account. I do not ship via UPS. If crating is required. I can help the buyer with crating arrangements, but the buyer will be responsible for crating costs. Please take a look at my other auctions. For questions please contact Bill at(208) 286-7810 or
Brooks FabExpress EFEM FOUP Load Port Wafer Pod Front Loader 300mm 127000-123
Questions? Call us: 1-877-328-9236. Brooks FabExpress EFEM FOUP Load Port Wafer Pod Front Loader 300mm 127000-123. Manufacturer: Brooks Automation Model: 127000-123 Condition: Used Price: Cosmetic appearance may vary slightly from pictured unit(s) Manufacturer: Brooks Automation Condition: Used. This module is in Good cosmetic condition with only very minor blemishes from prior use. Mostly residues from tape and bubble wrap that were used to keep dust off the machine in storage. Notes: This was removed from a decommissioned FabExpress machine and not tested separately. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Brooks 127000-123 EFEM, 1) Top mounting bracket, Specifications: Manufacturer: Brooks Automation, P/N: 127000-123, 300mm Wafer Cassette Load Port, FabExpress EFEM/FOUP interface, ... moreQuick one-person replaceable, Polished stainless steel covers, Easy facilities disconnect, Clean. Reliable operation with no maintenance or consumables, Subassemblies with labels: TLG-RS232 M/N 108378, Transponder reader ASC-I1 TLG-I1-1000-S0-01EB, Antenna Housing AGH-BRO-FLPM-R1, Circuit board 002-6878-06, SKU: O41D005 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Orders shipped to Texas will incur State
Kensington Labs Door Opener ADO EFEM FOUP Load Port Wafer Pod Front Loader 300mm
Questions? Call us: 1-877-328-9236. Kensington Labs Door Opener ADO EFEM FOUP Load Port Wafer Pod Front Loader 300mm. Manufacturer: Kensington Model: Door Opener Condition: Used Price: Cosmetic appearance may vary slightly from pictured unit(s) Manufacturer: Kensington Condition: Used. This unit is in Good cosmetic condition with a few standout blemishes. There is dust in the nooks and crannies from warehouse storage. There is light corrosion on the top/back(photo#5) There are shoe marks on the bottom/back from pushing around the warehouse. The front cover is missing from over the electronics. Notes: This Door Opener is in at-least-PARTIAL working condition. We are not able to fully test this unit. It powers on and the 7-segment display constantly cycles through various letters and numbers. The Present light comes on when a hand is passed ... morethrough the sensor beam. No other testing was done. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Kensington Door Opener, Specifications: Manufacturer: Kensington Labs, Door Opener, 300mm Load Port, Circuit boards: 4000-6109-03 Processor Board, 77-4000-6110-03 I/O Board, SKU: P06D015 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Orders shipped to Texas wi