Advanced Energy Pinnacle Dc Magnetron Wedge Bonder 13 56Mhz Rf Generator Dc Magnetron Power Supply Rf Plasma Mks Eni Rfpp Rf Rf Plasma Generator Plasma Generator Wedge Wire Bonder Advanced Energy Mdx Rf Match Amat Astex 13 56 Mhz 13.56Mhz Generator Amat Rf Generator Amat Comdel Power Supply Amat Type Vcr 13 56 Mhz Rf Slit Valve Wafer Prober 13 56Mhz Tel Unity Power Supply Ae Vacuum Gate Valve Mks Astex Gasonics Source Amat Wire Bonder Rf Power Generator Die Bonder 1 Torr Rf Generator Power Supply Temescal Digital Dynamics Uhv Mks Residual Gas Analyzer Kla Tencor Amat P5000 Karl Suss Uhv Vacuum Electroglas Amat Endura Wafer Transfer Ae Apex Board Amat Inficon Transpector Plasma Etcher 300Mm Wafer Asa Vacuum Leybold Inficon Mask Aligner Amat Mks 100 Torr Assembly Amat Vat Gate Sputtering Nikon Nsr Torr Pressure Transducer Anelva Seiko Seiki Vcr Female Pendulum Valve Unity Ii Baratron Pressure Transducer Ball Bonder Refurbished Mfc- 10 Torr Mks Baratron Capacitance Dc Plasma Transfer Robot Ultratech Stepper Rf Power Supply Ion Gauge Amat Quantum Daihen Turbo Pump Controller Mks Baratron Pressure Transducer Novellus Concept Cti Cryogenics Brooks Automation Micro Ion Power Supply Readout Precision Sensors Wafer Probe Mfc Brooks Solid State Power Generator Remote Plasma Source Pcb Board Card Bonder Refurbished Tel Unity Ii Amat Centura 2 Mks Pri Automation 200Mm Wafer Mks Hps Transfer Vacuum Valve Nikon Nsr-S307e Capacitance Manometer Genesis Rf Generator Ionizing Air 200 Sccm Baratron Capacitance Manometer Throttle Valve Right Angle Vacuum Valve Boc Edwards Probe Station Kla Ait Granville Phillips 500 Sccm Dc Plasma Generator Vacuum Deposition Pirani Gauge Temptronic Plasma Asher Bonder Model Thin Film Varian Turbo-V Vacuum Gauge Controller Vacuum Gate Valve Rebuilt 1000 Torr Turbo Molecular Pump Wafer Transfer Robot Advanced Energy Apex Riken Keiki Asml 4022 6 Asa Wafer Handler Boc Edwards Vacuum Rf Match Electron Beam Sccm N2 Kulicke Soffa Asm Epsilon 3200 Ae Rf Tel Unity 2 Prober Probe Etcher Hitek Power Pfeiffer Balzers Probe Manipulator Mks Astron Mks Instruments Type Vcr Female Wafer Handling 13 56 Mhz Power Match Network Adixen Alcatel Advanced Energy Rf Newport Optical Fixture Tel Tokyo Electron Sputter Convectron Gauge Mks Baratron Cold Cathode Gauge Ion Beam Throttle Valve Controller Celerity Mfc Ufc-1661 Cold Cathode Wafer Handling Robot Sputtering Power Supply Ultrasonic Generator Mks Type Genmark Vacuum Pendulum Valve Ion Source Wafer Prealigner Single Board Computer Assembly Rev 8 Wafer Ae Advanced Energy Hitachi S-9300 Plasma Etch 3013 Rf Valve Controller Vat Valve Active Gauge Wafer Loader Electron Gun Esi Cka Thermo Chiller Angle Valve Film Thickness Gauge Controller Asyst Technologies Nikon Nsr-S205c Signal Conditioner Tokyo Electron Pirani Vacuum Rf Match Network Cryo Pump Base Probe Manipulator Balzers Ion Pump Hitachi Mu-712E Glassman High Voltage Mdx Pinnacle
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
350.00
Buy It Now
$80.00 Shipping
Condition: Used
Location: Temple, United States
Model Number: 300mm Wafer. Serial numbers or country of manufacture may vary.
$
75.00
Buy It Now
$10.00 Shipping
Condition: Used
Location: Caldwell, United States
Condition is Used. In addition to over 1million wafers ranging from 1”-12” of all variations.
$
125.00
Buy It Now
$10.00 Shipping
Condition: Used
Location: Caldwell, United States
$
34.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Compatible Wafer Size: 300mm. Wafer Slots: 25. Does Not Include Robotic Flange. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated ... morewith the manufacturer of this product. Original manufacturer's warranty does not apply.
$
29.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Wafer Size Compatibility: 300 mm. Wafer Capacity: 25. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer ... moreof this product. Original manufacturer's warranty does not apply.
$
24.97
Buy It Now
$33.44 Shipping
Condition: Used
Location: Leander, United States
(1) Shin-Etsu MW300G-A FOSB Polycarbonate Wafer Carrier. Compatible Wafer Size: 300mm. The wafer carrier is in good condition. Wafer Slots: 25. Material: ... morePolycarbonate. The door opens normally and securely shuts.
$
359.99
6d 6h 53m 58s
0 bids
Condition: Used
Location: Leander, United States
Compatible Wafer Size: 300mm. (26) Shin-Etsu MW300GT-A 25-Capacity Clear Wafer Carriers w/Robot Flanges. Wafer Slots: 25. Robotic Flange: MW300G-M Black. ... moreDock or forklift?. Notes:The carriers were removed from a decommissioned setup.
$
260.62
Buy It Now
$20.27 Shipping
Condition: New – Open box
Location: Boise, United States
REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson at getsparesllc. We do repairs. (See Hours of Operation, ... moreabove). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù.
$
428.51
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: PR300Z 300mm Wafer Spin Cassette. Copper Exposed.
$
198.56
Buy It Now
$10.51 Shipping
Condition: Used
Location: Boise, United States
(See Hours of Operation, above). REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson at getsparesllc. Brooks/Equipe/PRI ... more(For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. ).
$
499.00
Buy It Now
$24.42 Shipping
Condition: For parts or not working
Location: Haverford, United States
Newport/Kensington Prealigner. This appears to be for 300mm wafers. This unit has precision linear and rotary motion, with encoders. The wafer holder ... morehas a vacuum pass-through. I believe it also has a laser and CCD detector to align the wafer.
$
280.00
Buy It Now
$42.71 Shipping
Condition: Used
Location: Hauppauge, United States
This auction is for used and untested Asyst EG-300B-009 300mm Wafer Aligner. Model: EG-300B-009 Mfg. Date: 09/2006 The unit has minor dents and scratches ... morebut over roll in good shape. Unable to verify functionality. Sell as is no return.
$
288.51
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: PR300Z 300mm Wafer Pincette. Copper Exposed. Installed Component. ... moreThese products are subject to export restrictions under U.S. law.
$
150.00
Buy It Now
$82.45 Shipping
Condition: Used
Location: Portland, United States
With its bright yellow color, it's easy to spot and identify, making it a great addition to any workplace.
$
2500.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Item Condition: Used.
$
24.99
Buy It Now
$31.47 Shipping
Condition: Used
Location: Gilbert, United States
This wafer carrier is in great condition. The white plastic rails are removable making it easy to clean. It was a bit Dusty inside and out when I received ... moreit and I did take the time to remove dust with a damp cloth. The size of the wafers it can carry is nearly 12", you could almost fit a vinyl record in there, but theres then it wouldn't close. Ship same day
$
44.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Manufacturer: Kakizaki. Wafer Size: 300mm, 12". Wafer Storage Container Front Opening Unified Pod. Material: Polycarbonate. Capacity: 25. CDN Systems ... moreLLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of this product.
$
750.00
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: San Jose, United States
$
145.00
Buy It Now
$29.95 Shipping
Condition: Used
Location: Danville, United States
Batch of ten Polished (mirror finish) Silicon Wafers, 300 mm (12 inch) with wafer holder. Both sides polished to mirror, stock finish. Wafers packaged ... morewith 12" (300mm) wafer carrier. 
$
395.00
Buy It Now
Free Shipping
Condition: Seller refurbished
Location: San Jose, United States
Parts are hand marked and Serial Numbers Recorded to prevent fraud.
$
499.99
Buy It Now
$55.00 Shipping
Condition: Used
Location: Hayward, United States
This listing is for a set of 25 silicon wafers with a diameter of 12 inches (300mm) from AMAT Applied Materials. These wafers are suitable for use in ... moreindustries such as semiconductor and PCB manufacturing, CNC, metalworking, and other similar fields. The wafers are in great condition and come from a trusted source, making them a reliable choice for your manufacturing needs. They come in a custom bundle of 25 and are ready to be used in your next project.
$
1500.00
Buy It Now
$21.76 Shipping
Condition: Used
Location: Plano, United States
$
14999.99
Buy It Now
Condition: Used
Location: Leander, United States
Model: 4000-5333-03 / IMS1200LM. Newport IMS1200LM. For 300mm Wafer. Manufacturer:Newport Electronics. Vacuum Chuck. Dock or forklift?. Pitch, Typical±125 ... moreµrad. Peak Motor Force210 N.
$
44.99
Buy It Now
$36.38 Shipping
Condition: Seller refurbished
Location: Leander, United States
Compatible Wafer Size: 300mm. Wafer Slots: 25. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of ... morethis product. Original manufacturer's warranty does not apply.
$
120.00
Buy It Now
$60.00 Shipping
Condition: Used
Location: South Korea
$
44.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Manufacturer: Kakizaki. Wafer Slots: 25. Wafer Size Compatibility: 300 mm. Twist Lock Opening. The carrier is in good, used condition with minor scuffs ... morefrom previous use. Door Type: Manual Open/Close.
$
2650.82
Buy It Now
$37.55 Shipping
Condition: Used
Location: Buda, United States
We do repairs. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Novellus C-1.
$
1100.00
Buy It Now
$10.51 Shipping
Condition: New – Open box
Location: Boise, United States
Nicole Jackson. (See Hours of Operation, above). KLA-TENCOR 8100, 8200, 8300 CD SEM.
$
700.00
Buy It Now
$80.00 Shipping
Condition: Used
Location: Temple, United States
Model Number: 600120953.
$
995.00
Buy It Now
Free Shipping
Condition: Used
Location: Killeen, United States
This was removed from a Centura Front Loader. Applied Materials Wafer Holder Assy. Can be used for 200MM or 300MM Wafers by re adjusting centering holes. ... moreCurrently set up for 200MM operation.
$
4000.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
1750.00
Buy It Now
Free Shipping
Condition: Used
Location: Seattle, United States
Typically the MASCOT Loadlock would be mounted to a gate valve attached to a main system chamber. After the loadlock is evacuated to the desired vacuum ... morelevel, and the gate valve opened, the substrate is transferred into the process chamber by gliding the magnet carriage along the outer tube.
$
125.00
Buy It Now
$125.00 Shipping
Condition: Used
Location: Ireland
Model No: SB300. This Entegris SB300 is used surplus. The physical condition is good, From 300mm FAB in Ireland.
$
44.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Manufacturer: Kakizaki. Wafer Slots: 25. Wafer Size Compatibility: 300 mm. Door: Twist Lock, KTL-3004A-2. CDN Systems LLC, DBA Doug Deals, is not an authorized ... moredistributor or affiliated with the manufacturer of this product.
$
55000.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
Cascade Microtech S300 semi-automatic and manual RF/Microwave Probing System. The S300 supports wafer sizes and shards from 0.5 in. (1 mm) all the way ... moreup to 12 in.
$
1200.62
Buy It Now
$52.96 Shipping
Condition: Used
Location: Buda, United States
(See Hours of Operation, above).
$
50.00
Buy It Now
$20.00 Shipping
Condition: Used
Location: Grand Prairie, United States
You are Buying a Kakizaki KTB-30002B 300mm. Wafer Carrier. Sold As Is. Kakizaki 300mm. If you don’t see it you probably won’t gel it.
$
850.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Entegris F300-1109-04016H F300 Foup AutoPod Wafer Carrier 300mm is used untested surplus and is being sold as-is. The physical condition is fair, ... morebut there are signs of previous use and handling.
$
225.00
Buy It Now
$24.13 Shipping
Condition: New – Open box
Location: Richmond, United States
LOT OF 5 INFINEON TECHNOLOGIES 300MM MANUFACTURED SILICON WAFERS 2005 UNUSED.
$
549.56
Buy It Now
$9.88 Shipping
Condition: Used
Location: Boise, United States
(See Hours of Operation, above). REQUIRED BY US LAW. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole Jackson at getsparesllc. Brooks/Equipe/PRI ... more(For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. ).
$
420.00
Buy It Now
$12.87 Shipping
Condition: Used
Location: Goleta, United States
Veeco/Bruker C-DLC : 160-004-900 R.A Wafer Chuck 300mm Diameter (8179)W Good cosmetic condition with some signs of use. Bottom has some scuff marks. Side ... moreis chipped. Taken from a working environment. See pictures for more detail.
$
550.56
Buy It Now
$9.88 Shipping
Condition: Used
Location: Boise, United States
REQUIRED BY US LAW. We do repairs. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. (See Hours of Operation, above). Zhòngyào de! Rúguǒ méiyǒu ... morezhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù.
$
344.29
Buy It Now
Condition: Used
Location: Leander, United States
Compatible Wafer Size: 300mm. Wafer Slots: 25. Dock or forklift?. Residential area?. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor ... moreor affiliated with the manufacturer of this product.
$
4499.99
Buy It Now
$139.22 Shipping
Condition: New – Open box
Location: Phoenix, United States
29 pt RTD Sensors. Connection: D-type, high density, sub-miniature with 64 pins. (2 connectors for 18-34 sensors per wafer.). Wafer Size: 300 mm. Accessories ... morewith the Process Probe Lead Materials: Polyimide coated copper.
$
49.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Manufacturer: Kakizaki. Wafer Slots: 25. Wafer Size Compatibility: 300 mm. The top opens as intened and secures properly to the case. CDN Systems LLC, ... moreDBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of this product.
$
44.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Wafer Case. Top Robotic Flange. Body Material: Polycarbonate. Lab & Test. 25 Capacity (12" Wafers). There may be minor scuffs and scrapes due to previous ... moreuse. Se habla espanol! CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of this product.
$
895.00
Buy It Now
$45.00 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
We have many parts we have not had a chance to list yet.
$
3504.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Part No: PR300Z Robot Assembly. Copper Exposed. Serial numbers or country ... moreof manufacture may vary. These products are subject to export restrictions under U.S. law.
$
1900.00
Buy It Now
$50.94 Shipping
Condition: Used
Location: Boise, United States
Brooks/Equipe/PRI (For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. REQUIRED BY US LAW. (See Hours of Operation, ... moreabove). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn zhù. ).
$
39.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
The side handles of the unit are missing. The carrier opens and closes with no obstruction. Individual Parts Center Retaining Feature: MW 300G-L (Polycarbonate). ... moreBody: MW 300GT-A (Polycarbonate). CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of this product.
$
619.99
Buy It Now
Free Shipping
Condition: Used
Location: Rancho Cordova, United States
New open box, unit is unused. On hand and ready to ship!.
$
39.99
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Compatible Wafer Size: 300mm. Wafer Slots: 25. Robotic Flange: MW300G-M. Material: Polycarbonate. CDN Systems LLC, DBA Doug Deals, is not an authorized ... moredistributor or affiliated with the manufacturer of this product.
$
2500.00
Buy It Now
$20.27 Shipping
Condition: Used
Location: Goleta, United States
This is a wafer inspection chuck that is made of aluminum. It is engraved with five circular rings for suction purposes. Also has threaded mounting hole ... moreon the bottom of the chuck. We are unable to test this item. This item appears to be new, old stock. We have multiple options of inspection chucks and wafer chucks.
$
407.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Rigaku 6960-9802 is used working surplus. The physical condition is good, but there are signs of previous use and handling. These products are subject ... moreto export restrictions under U.S. law. INTERNATIONAL EXPORT POLICY.
$
3980.82
Buy It Now
$44.80 Shipping
Condition: New – Open box
Location: Buda, United States
Brooks/Equipe/PRI (For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. Five-one-two-nine-two-eight-five-five-five-three ... moreCorporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. ).
$
48.29
Buy It Now
$36.38 Shipping
Condition: Used
Location: Leander, United States
Entegris SB300 Full Pitch Front Opening Wafer Carrier 25 Cap. w/Robotic Flange. (1) Entegris SB300 Full Pitch Front Opening Wafer Carrier 25 Cap. Model: ... moreSB300. Manufacturer: Entegris. Wafer Size Compatibility: 300 mm.
$
999.00
Buy It Now
$93.05 Shipping
Condition: Used
Location: Garland, United States
300mm wafer with 17 RTD points. ALL RTD points working. Wafer PN 1840A-12-5010A, SN 51838. It is to display the operational performance of the wafer .
$
399.90
Buy It Now
Free Shipping
Condition: New – Open box
Location: 구로구, South Korea
This item is surplus one, not used item. If You Want. Model Number : 15-349429-00 REV.A. Condition : New Other. /span>s arrival, and it has to be in the ... moreoriginal condition as it was sent out.
$
1130.02
Buy It Now
Free Shipping
Condition: New
Location: Coschütz, Germany
Model: 027003972.
$
689.99
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Boise, United States
All pictures are of actual item for sale.
1 2 3 4 5 6 7
 In 

Former Listings  
 
Semiconductor Silicon Wafer IC 300mm 12" Unpolished Unprocessed
Questions? Call us: 1-877-328-9236. Semiconductor Silicon Wafer IC 300mm 12" Unpolished Unprocessed. Manufacturer: Unknown Model: Unknown Condition: Used Price: Cosmetic appearance may vary slightly from pictured unit(s) Manufacturer: Unknown Condition: Used. Comes in non-original packaging. The wafer has a pattern of grind marks on both sides. But because it has not been through any photolithographic cycles, there are no microchip patterns present. There is a tiny, clean 2mm notch on the edge of each wafer(see picture 3) but there are no unintended chips or cracks in the wafer. There are a few faint surface scuffs and scratches, and there may be some writing on only one side of the wafer(see last photo) Notes: No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Unpolished/Unprocessed 300mm ... moreSilicon Wafer, Specifications: Manufacturer: Unknown, Model: Unknown, Size: 300mm(12" Thickness: ~.80mm(+/ 10 mm) Material: Silicon Finish: Cut straight from the ingot and unprocessed, Unlapped and Unpolished, SKU: L33P025 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please cont
Coorstek 4002-9667-01 Wafer Robot End Effector 300mm
Search our eBay Store! Coorstek 4002-9667-01 Wafer Robot End Effector 300mm SKU: JV-RYA-B-COORS4002Z Condition: Used Packaging: Retail Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Coorstek 4002-9667-01 Robot Arm End Effector Blade 300mm. Material: Ceramic Model: 4002-9667-01. Physical Condition: Very Good We do not have the necessary resources in our facility to test this unit. Dimensions(L"W"H" 12* 8 ½* 1/16 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may ... morebe subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us before leaving feedback or opening a case. Let us sav
INTEGRATED DYNAMICS ENGINEERING 755000-01 24V Das 300MM Wafer Prealigner SPA 300
INTEGRATED DYNAMICS ENGINEERING 755000 Wafer Pre-aligner in great condition and available for immediate shipment Posted with
C100113 Shin-Etsu Polymer MW300G-A 300mm 12-inch 12" Wafer Loader Purity Shuttle
C100113 Shin-Etsu Polymer MW300G-A 300mm 12-inch 12" Wafer Loader Purity Shuttle(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# C100113 This Shin-Etsu Polymer MW300G-A 300mm 12-inch 12" Wafer Loader Purity Shuttle looks to be in good cosmetic condition. Showing some minor signs wear. Sold as-is. Approximate overall unpacked dimensions: 16"L x 15"W x 13"H. P54. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below Shipping. Buyer pays a fixed shipping and handling fee of $37.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) All international ... moreshipments(including Hawaii and Alaska residents) will be quoted based on winning bidders shipping address. The unpacked weight of this item is 11.00 Pounds Orders will ship 1-2 business days(excludes weekends and holidays) after receipt of confirmed/verified Paypal payments. We do not process orders nor ship on weekends or holidays. Auction Terms. Upon end of auction. The winning bidder should proceed to"CheckOut" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as advertised. As is and without warranty. Questions should be asked prior to bidding as all sales are final PAYMENT TERMS ARE PREPAID ONLY. Payments. ALL AUCTIONS ARE PREPAID ONLY. We accept Paypal payments that are US and Canada Verified with ship-to US and Canada confirmed addresses only. We accept credit card payments through confirmed/verified Paypal accounts only. California Sales Tax For all California ship-to locations within Santa Clara County. Local sales tax applies. For all California ship-to locations out of Santa Clara County. The current basic state tax rate applies. Orders will be placed on hold for non-payme
C100110 Asyst SMIF-Pod 300mm 12-inch 12" Wafer Carrier Pod, P54
C100110 Asyst SMIF-Pod 300mm 12-inch 12" Wafer Carrier Pod. P54(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# C100110 This Asyst SMIF-Pod 300mm 12-inch 12" Wafer Carrier Pod looks to be in good cosmetic condition. Showing some minor signs wear. Sold as-is. Approximate overall unpacked dimensions: 17"L x 14"W x 14"H. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below Shipping. Buyer pays a fixed shipping and handling fee of $37.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) All international shipments(including Hawaii and Alaska residents) ... morewill be quoted based on winning bidders shipping address. The unpacked weight of this item is 13.00 Pounds Orders will ship 1-2 business days(excludes weekends and holidays) after receipt of confirmed/verified Paypal payments. We do not process orders nor ship on weekends or holidays. Auction Terms. Upon end of auction. The winning bidder should proceed to"CheckOut" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as advertised. As is and without warranty. Questions should be asked prior to bidding as all sales are final PAYMENT TERMS ARE PREPAID ONLY. Payments. ALL AUCTIONS ARE PREPAID ONLY. We accept Paypal payments that are US and Canada Verified with ship-to US and Canada confirmed addresses only. We accept credit card payments through confirmed/verified Paypal accounts only. California Sales Tax For all California ship-to locations within Santa Clara County. Local sales tax applies. For all California ship-to locations out of Santa Clara County. The current basic state tax rate applies. Orders will be placed on hold for non-payment of taxes.
C100110-P54 Asyst SMIF-Pod 300mm 12-inch 12" Wafer Loader Carrier Pod
C100110-P54 Asyst SMIF-Pod 300mm 12-inch 12" Wafer Loader Carrier Pod(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# C100110 This Asyst SMIF-Pod 300mm 12-inch 12" Wafer Carrier Pod looks to be in good cosmetic condition. Showing some minor signs wear. Sold as-is. Approximate overall unpacked dimensions: 17"L x 14"W x 14"H. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below Shipping. Buyer pays a fixed shipping and handling fee of $37.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) All international shipments(including Hawaii and Alaska ... moreresidents) will be quoted based on winning bidders shipping address. The unpacked weight of this item is 13.00 Pounds Orders will ship 1-2 business days(excludes weekends and holidays) after receipt of confirmed/verified Paypal payments. We do not process orders nor ship on weekends or holidays. Auction Terms. Upon end of auction. The winning bidder should proceed to"CheckOut" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as advertised. As is and without warranty. Questions should be asked prior to bidding as all sales are final PAYMENT TERMS ARE PREPAID ONLY. Payments. ALL AUCTIONS ARE PREPAID ONLY. We accept Paypal payments that are US and Canada Verified with ship-to US and Canada confirmed addresses only. We accept credit card payments through confirmed/verified Paypal accounts only. California Sales Tax For all California ship-to locations within Santa Clara County. Local sales tax applies. For all California ship-to locations out of Santa Clara County. The current basic state tax rate applies. Orders will be placed on hold for non-payment of taxes.
200mm-300mm KLA Tencor Wafer Chuck
200mm-300mm KLA Tencor Wafer Chuck
AMAT Wafer Transfer Arm 0010-19041 300MM Dual Blade CR AMAT Centra 2525 working
This AMAT wafer transfer arm is used working surplus and comes with the cable. It was removed from an AMAT Centra 4.0 HDP-CVD 2525 etcher monolith. The physical condition of the plasma generator is good and clean. But there may be some very minor scratches from handling. AMAT. Part# 0010-19041 Revision: 001 Robot Description: 300MM Dual Blade CR. Centra 2525 Condition: Working. 90 Day Warranty. Estimated Packed Shipping Dimensions: L x W x H = Contact for information Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The ... morepictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ# Can't find the answer you're looking for? contact us! Office Hours: 8:00 am to 5:00 pm MST Monday to Friday We are closed on Weekends and all major US holidays. Email Address: sales@usedeqsales.com Phone Number: 505-345-8732, Fax Number: 505-345-8730, Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona F
AMAT Wafer Transfer Arm Robot 0010-19041 300MM Dual Blade CR AMAT Centra 2525
This AMAT wafer transfer arm robot is used working surplus and comes with the cable. It was removed from an AMAT Centura 4.0 HDP-CVD 2525 etcher monolith. The physical condition of the robot is good and clean. But there may be some very minor scratches from handling. AMAT. Part# 0010-19041 Robot Description: 300MM Dual Blade CR. Centra 2525 Condition: Working. 90 Day Warranty. Estimated Packed Shipping Dimensions: L x W x H = Contact for information Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number ... moreis not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ# Can't find the answer you're looking for? contact us! Office Hours: 8:00 am to 5:00 pm MST Monday to Friday We are closed on Weekends and all major US holidays. Email Address: sales@usedeqsales.com Phone Number: 505-345-8732, Fax Number: 505-345-8730, Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items
Lot of 3 Entegris Model F300 AutoPod , FOUP , 300mm Silicon Wafer Carriers 3163
Lot of 3 Entegris Model F300AutoPod. FOUP, 300mm Silicon Wafer Carrier, for parts- as is- not working. Each Missing a panel(for parts) Buyit now $45 Anyaccepted offer must be paid promptly Used.For parts Lotof 1 set PackedWeight: 26lbs PackedSize: 30X24X24 Sorry.No International Shipping on this item Our Lot# 3163 WE USUALLY SHIP(95% OF MY LISTINGS SAME DAY IF PAID FOR BY 12:00 P.M.PDT. PLEASE EMAIL ME OR CALL818-384-1158 TO VERIFY I SEE YOURPAYMENT OR IF YOU NEED YOUR ITEM SHIPPED SAME DAY. PLEASE SEE MY OTHER LISTINGS FOR MORE GREAT VALUES! WE WILL COMBINE SHIPPING IF POSSIBLE. WE WILL SHIP WORLDWIDE(Except when noted above) THANK YOU! Pleasecheck out the photos in the listing so you can see the item you will bereceiving. Please email us from the"Ask A Question" link at thebottom of this page with any questions. Yoursatisfaction ... moreis important to us. If for any reason you are not happy with theitem. Or our service, please contact us with the problem. So before leaving anegative, neutral, or even unflattering positive feedback, please simplycontact us. We will do whatever is necessary to resolve the situation. SHIPPING INFO: This itemweighs about 26 lbs packed in a 30 X 24 X 24 Inch Box. The amount quoted forshipping is directly from the USPS/FedEx based on distance package will traveland weight. If the rate provided seems incorrect. Please contact us and we willbe happy to verify with chosen carrier. Shippingis calculated using the USPS calculator for USA orders. For international(ifavailable) A $30 paperwork fee applies and the bidder must provide a FedEx,UPS or DHL account number for any and all shipments, export/import and orcustom fees- please contact us for exact shipping cost. Typicallywe ship out items same day for payments received before 12pm pacific time. Itemsbeing shipped within CA will include sales tax. Posted with eBay Mobile 1.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28b0g%60%60%3E1-143cd31e864-0xf8-
Brooks Automation 300mm Wafer Cassette Load Port Transfer Handler 127000-118 #1
Search our eBay Store! Brooks Automation 300mm Wafer Cassette Load Port Transfer Handler 127000-118#1 SKU: JV-HAN-C-BRKS127000 Condition: For parts or not working Packaging: Pallet/Skid Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are only included if pictured or listed in description. If in doubt, please contact us before purchasing. Click an Image to Enlarge Brooks Automation 300mm Wafer Cassette Load Port Transfer Handler 127000-118#1 BEING SOLD AS-IS FOR PARTS OR REPAIR. P/N: 127000-118. Components Installed: Pod Clamp. Paddle. Shuttle. Rod Antenna ANT-1S10. Antenna Housing AGH-BRO-FLPM-R1. Controller Board 002-6878-06. Physical Condition: Good- Minor scuffs/scratches. Unit is missing two screws to hold on cover. Foam strips are coming ... moreloose. Functionality/Degree of Testing: We do not have the necessary resources to test this unit. Power adapter is not included. Dimensions(L" x W" x H" 18⅝ x 23½ x 53½ BEING SOLD AS-IS FOR PARTS OR REPAIR. All parts. Including accessories and cables are only in cluded if pictured or listed in the description. All pictures are taken in house. If you require more information. Please contact the manufacturer and/or research online. As a large liquidator, we do not carry additional information beyond what has been provided to us above. This FDA DISCLAIMER is for MEDICAL DEVICES only and not for all our products: The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. Our Contact: SVC ASSET MANAGEMENT. INC. 1096 PECTEN CT MILPITAS. C
PRI Automation PRE-300BU-I-CE-S2 300mm Wafer Prealigner Used Working
This PRI Automation PRE-300BU-I-CE-S2 is used working surplus. The physical condition is good. But there are scratches from previous use and handling. Part No: PRE-300BU-I-CE-S2 Wafer Size: 300mm CE Marked Made in USA Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 18"x18"x18" 24 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 14 ... moreCan't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may vary based on the p
Brooks Automation PRE-301BU-I-CE-S2 300mm Wafer Prealigner Used Working
This Brooks Automation PRE-301BU-I-CE-S2 is used working surplus. The physical condition is good. But there are some minor scratches from previous use and handling. Part No: PRE-301BU-I-CE-S2 Wafer Size: 300mm CE Marked Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 14"x14"x14" 30 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister ... more12 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may vary based on the
Brooks Automation 6-0002-0442-SP 300mm Wafer Prealigner PRE-300B-CE Used
This Brooks Automation 6-0002-0442-SP is used working surplus. The physical condition is good. But there are some minor scratches from previous use and handling. Part No: 6-0002-0442-SP Model No: PRE-300B-CE 0014447-000 Wafer Size: 300mm CE Marked Made in USA Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 14"x14"x14" 30 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction ... moreGuarantee(See Details Below) Lister 12 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping
Entegris F300 AutoPod, FOUP , 300mm Silicon Wafer Carrier , DI Cleaned Certified
Ready to Use! Third Party Certified for Functionality of Door and Slot placement performance. DI Water Cleaned and Double-Bagged in Class 100 Cleanroom prior to shipment. Description Entegris Model F300 AutoPod FOUP(Front Opening Universal Pod) with Auto-Type Lid Safely transports up to 25 Standard 300mm Diameter Silicon Wafers. Amber colored outer shell. Condition Certificate of Conformity provided to ensure Standard Operational Transfer of wafers through door and to send/receive slots. Minimum of 25 cycles of each wafer to and from slots in AutoPod. Latches work properly and secure lid properly. Excellent cosmetic appearance. Some minor microscratches on outer shell. 1.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28b0g%60%60%3E1-1452f721e3e-0x10b-
Kensington 25-3700-1425-07 WAFER ROBOT 300mm AMAT 00-640-05AT
Applied Materials: 0190-01827? Newport. Kensington: 25-3700-1425-07 Wafer Robot. 300mm. Sn: 00-640-05AT As is. Check out my! LLNW.
Equipe Technologies PRE-300B 300mm Wafer Prealigner Used
kiwi Equipe Technologies PRE-300B 300mm Wafer Prealigner Used Inventory# 4572 This Equipe wafer prealigner is used. Untested surplus. The physical condition is good, but there is some minor wear. It will be sold AS-IS. SPECIFICATIONS: Model No: PRE-300B SALE DETAILS: Condition: Untested. AS-IS Estimated Packed Shipping Dimensions(does not reflect multiple item orders) L x W x H = 18in. x 18in. x 18in. 25 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. Thank you. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See International Shipping Details Below) Only items pictured are included- If a part is not pictured. Or mentioned in the description, then it is not included in the sale. Pictured test equipment is not included. For multiple listed items. The pictured serial number is not necessarily ... morethe one that will be sent. 90-Day Satisfaction Guarantee(See Return Policy below for details) Lister 1 We also buy semiconductor process parts. Please contact Paul Robledo at 505.345.8732 or email CONTACT INFORMATION: For all technical questions about our products please contact Paul Robledo at 505.345.8732 or email For all customer service related issues. Shipping and/or payment questions, please call our office at 505.345.8732 or email Richard Used Equipment Sales. LLC 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Office: 505.345.8732 Fax: 505.345.8730 Office Hours: Monday- Friday: 8:00 AM- 5:00 PM Mountain Standard Time(MST) Warehouse Delivery Hours: 8:30 AM- 4:00 PM Mountain Standard Time(MST) We are closed Saturday. Sunday and all major US holidays. Location visits by appointment only. SALE TERMS AND CONDITIONS: Only the pictured items are included in the listing. If it is not pictured or mentioned in the description it is not included. Place a bid only if you are serious about purchasing the item. If we(the sellers) are unable to establish contact with the winning bidder within 7 days of the end of the auction or if
Quartz Wafer Boat 300mm (150 Wafer Count)
WE BUY SURPLUS SEMICONDUCTOR PARTS. CALL US AT 512-632-8382 or EMAIL SALES@GETSPARES.COM All items details pertaining to the Auction are listed. There are no other items included other than those in the listing. Hours: Mon- Fri: 8:00 AM- 5:00 PM– Central Texas Time Warehouse Hours: Mon- Fri 7:30 AM- 3:00 PM Central Standard Time(CST) PAYMENT CONDITIONS: Payments are expected under terms of eBay made through Paypal etc. Please contact us in the event that payment cannot be made within five days of the auction closing to either make other payment arrangements otherwise the item will be re-listed. We accept: Cashier’s check. Visa& MasterCard, and Bank Wire Transfers in USD($25 wire transfer fee applies in addition to the sale price) An 8.25% Sales tax applies to TEXAS residents who don’t provide a correct. Valid resale tax ID for our records(11-digit) ... moreWe cannot process a Tax Exempt claim untill we receive sales tax or a valid resale-use certificate. TERMS AND CONDITIONS: Pictured items. Only, shall be included in the listing. If it is not pictured or in the description, then it is not included. Place a bid(s) only if you intend to purchase the item. If we(the sellers) are unable to establish contact with the winning bidder within 5 days of the end of the auction or if payment is not received within 5 days then the item(s) will be relisted. You are still responsible for payment on the item under the eBay terms of buyer. Any contract or obligation of the seller will be void if payment is not made within 5 days. PACKING/SHIPPING: F06-D1000 Powered by The free listing tool. List your items fast and easy and manage your active items.
Entegris F300 AutoPod, Manual Lid FOUP , 300mm Silicon Wafer Carrier ,DI Cleaned
Ready to Use! DI Water Cleaned and Double-Bagged in Class 100 Cleanroom prior to shipment. Description Entegris Model F300 AutoPod FOUP(Front Opening Universal Pod) with Manual Type Lid Safely transports up to 25 Standard 300mm Diameter Silicon Wafers. Amber colored outer shell. Condition Latches work properly and secure lid. Excellent cosmetic and operational condition. Some minor microscratches on outer shell.
Toshiba 300mm Wafer Handling Transfer Right Side Robot w/ Kondoh Hand & Chuck
Questions? Call us: 1-877-328-9236. Toshiba 300mm Wafer Handling Transfer Right Side Robot w/ Kondoh Hand& Chuck. Manufacturer: Toshiba Model: G3G620 Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: Toshiba Condition: Used. This robot is in Good cosmetic condition aside from dust from storage. Notes: This was removed from decommissioned equipment and not tested separately. The rotating and horizontal axes we were able to move by hand; we did not attempt to lift the vertical axis. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Toshiba/Kondoh Wafer Transfer Robot, Specifications: Manufacturer: Toshiba Machine Co. Product No. G3G620, 300mm Wafer Translation Robot, Right side, With rotating base. Vertical and horizontal travel, Edge-clamp(with vacuum) ... moreand move up to 25 wafers, Adjustable optical limit switches on all axes, Model numbers on marked subassemblies: Toshiba BA0-X3ARB-090537-0FX, Toshiba BA00K-RH-M10N-09RX 90º travel rotary stage, Toshiba BA30F-UU-M20N-37X 370mm travel horizontal stage, Toshiba BA50K-ST-M05B-05X 50mm travel vertical stage, Kondoh WHB-300AS-25-Z-F 25-place Wafer Hand& Chuck, SKU: O20D012 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards
Genmark Automation 300Mm Wafer Prealigner Rpo060293 Working
Genmark Automation 300mm wafer prealigner Used working with visible signs of previous use. International customers must provide a FedEx or UPS account to ship collect.
RORZE RA310 300MM WAFER ALIGNER , USED
RORZE RA310 300MM WAFER ALIGNER. USED* Item Description] 14-02553 Manufacturer: RORZE Part number: RA310 Description: 300MM WAFER ALIGNER Condition: USED =================================================== SHIPPING We will ship your order within 2-3 business days via Fedex international economy. It will usually take 5-10 business days after shipment. PAYMENT Payment must be received prior to shipping by Paypal only. RETURN POLICY We back the quality of the parts we sell with a 14-day money back guarantee. Actual Picture of the item is provided. What you see in the picture(s) is what you get. If there is anything wrong with the item that you have purchased. Please do not leave negative feedback and do not open any disputes with eBay or PayPal. Just contact us directly and we will fix the problem quickly.
Brooks FabExpress EFEM FOUP Load Port Wafer Pod Front Loader 300mm 127000-123
Questions? Call us: 1-877-328-9236. Brooks FabExpress EFEM FOUP Load Port Wafer Pod Front Loader 300mm 127000-123. Manufacturer: Brooks Automation Model: 127000-123 Condition: Used Price: Cosmetic appearance may vary slightly from pictured unit(s) Manufacturer: Brooks Automation Condition: Used. This module is in Good cosmetic condition with only very minor blemishes from prior use. Mostly residues from tape and bubble wrap that were used to keep dust off the machine in storage. Notes: This was removed from a decommissioned FabExpress machine and not tested separately. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Brooks 127000-123 EFEM, 1) Top mounting bracket, Specifications: Manufacturer: Brooks Automation, P/N: 127000-123, 300mm Wafer Cassette Load Port, FabExpress EFEM/FOUP interface, ... moreQuick one-person replaceable, Polished stainless steel covers, Easy facilities disconnect, Clean. Reliable operation with no maintenance or consumables, Subassemblies with labels: TLG-RS232 M/N 108378, Transponder reader ASC-I1 TLG-I1-1000-S0-01EB, Antenna Housing AGH-BRO-FLPM-R1, Circuit board 002-6878-06, SKU: O41D005 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Orders shipped to Texas will incur State
Kensington Labs Door Opener ADO EFEM FOUP Load Port Wafer Pod Front Loader 300mm
Questions? Call us: 1-877-328-9236. Kensington Labs Door Opener ADO EFEM FOUP Load Port Wafer Pod Front Loader 300mm. Manufacturer: Kensington Model: Door Opener Condition: Used Price: Cosmetic appearance may vary slightly from pictured unit(s) Manufacturer: Kensington Condition: Used. This unit is in Good cosmetic condition with a few standout blemishes. There is dust in the nooks and crannies from warehouse storage. There is light corrosion on the top/back(photo#5) There are shoe marks on the bottom/back from pushing around the warehouse. The front cover is missing from over the electronics. Notes: This Door Opener is in at-least-PARTIAL working condition. We are not able to fully test this unit. It powers on and the 7-segment display constantly cycles through various letters and numbers. The Present light comes on when a hand is passed ... morethrough the sensor beam. No other testing was done. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) Kensington Door Opener, Specifications: Manufacturer: Kensington Labs, Door Opener, 300mm Load Port, Circuit boards: 4000-6109-03 Processor Board, 77-4000-6110-03 I/O Board, SKU: P06D015 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Orders shipped to Texas wi