Advanced Energy Pinnacle Dc Magnetron Wedge Bonder 13 56Mhz Rf Generator Dc Magnetron Power Supply Rf Plasma Mks Eni Rfpp Rf Rf Plasma Generator Plasma Generator Wedge Wire Bonder Advanced Energy Mdx Rf Match Amat Astex 13 56 Mhz 13.56Mhz Generator Amat Rf Generator Amat Comdel Power Supply Amat Type Vcr 13 56 Mhz Rf Slit Valve Wafer Prober 13 56Mhz Tel Unity Power Supply Ae Vacuum Gate Valve Mks Astex Gasonics Source Amat Wire Bonder Rf Power Generator Die Bonder 1 Torr Rf Generator Power Supply Temescal Digital Dynamics Uhv Mks Residual Gas Analyzer Kla Tencor Amat P5000 Karl Suss Uhv Vacuum Electroglas Amat Endura Wafer Transfer Ae Apex Board Amat Inficon Transpector Plasma Etcher 300Mm Wafer Asa Vacuum Leybold Inficon Mask Aligner Amat Mks 100 Torr Assembly Amat Vat Gate Sputtering Nikon Nsr Torr Pressure Transducer Anelva Seiko Seiki Vcr Female Pendulum Valve Unity Ii Baratron Pressure Transducer Ball Bonder Refurbished Mfc- 10 Torr Mks Baratron Capacitance Dc Plasma Transfer Robot Ultratech Stepper Rf Power Supply Ion Gauge Amat Quantum Daihen Turbo Pump Controller Mks Baratron Pressure Transducer Novellus Concept Cti Cryogenics Brooks Automation Micro Ion Power Supply Readout Precision Sensors Wafer Probe Mfc Brooks Solid State Power Generator Remote Plasma Source Pcb Board Card Bonder Refurbished Tel Unity Ii Amat Centura 2 Mks Pri Automation 200Mm Wafer Mks Hps Transfer Vacuum Valve Nikon Nsr-S307e Capacitance Manometer Genesis Rf Generator Ionizing Air 200 Sccm Baratron Capacitance Manometer Throttle Valve Right Angle Vacuum Valve Boc Edwards Probe Station Kla Ait Granville Phillips 500 Sccm Dc Plasma Generator Vacuum Deposition Pirani Gauge Temptronic Plasma Asher Bonder Model Thin Film Varian Turbo-V Vacuum Gauge Controller Vacuum Gate Valve Rebuilt 1000 Torr Turbo Molecular Pump Wafer Transfer Robot Advanced Energy Apex Riken Keiki Asml 4022 6 Asa Wafer Handler Boc Edwards Vacuum Rf Match Electron Beam Sccm N2 Kulicke Soffa Asm Epsilon 3200 Ae Rf Tel Unity 2 Prober Probe Etcher Hitek Power Pfeiffer Balzers Probe Manipulator Mks Astron Mks Instruments Type Vcr Female Wafer Handling 13 56 Mhz Power Match Network Adixen Alcatel Advanced Energy Rf Newport Optical Fixture Tel Tokyo Electron Sputter Convectron Gauge Mks Baratron Cold Cathode Gauge Ion Beam Throttle Valve Controller Celerity Mfc Ufc-1661 Cold Cathode Wafer Handling Robot Sputtering Power Supply Ultrasonic Generator Mks Type Genmark Vacuum Pendulum Valve Ion Source Wafer Prealigner Single Board Computer Assembly Rev 8 Wafer Ae Advanced Energy Hitachi S-9300 Plasma Etch 3013 Rf Valve Controller Vat Valve Active Gauge Wafer Loader Electron Gun Esi Cka Thermo Chiller Angle Valve Film Thickness Gauge Controller Asyst Technologies Nikon Nsr-S205c Signal Conditioner Tokyo Electron Pirani Vacuum Rf Match Network Cryo Pump Base Probe Manipulator Balzers Ion Pump Hitachi Mu-712E Glassman High Voltage Mdx Pinnacle
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
2850.00
Buy It Now
$148.60 Shipping
Condition: Used
Location: Pioneer, United States
$
650.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
199.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
P/N 330422550. PLASMA-THERM 700 PLASMA ETCHER PCB. LOGANSEMI 2547602424.
$
250.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
TEGAL 9XX 99-200-005 SIS-5.
$
41999.00
Buy It Now
Condition: Used
Location: Singapore
Type: Reactive Ion Etcher (RIE). Make: Trion Technology. Model: Phantom II. Advanced Energy 3155082-220 RF Generator (Qty:2). Unit Specifications. Pfeiffer ... moreTPH 521 P C PMP02861H Turbo Pump.
$
120.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Tegal Model 903e Plasma ETCHER Quartz Pins, Set of 6.
$
5900.00
Buy It Now
$350.00 Shipping
Condition: New
Location: Carson City, United States
Pump not included.
$
5625.00
Buy It Now
Condition: For parts or not working
Location: Bosque Farms, United States
For us to check rates, we need the following info: 1. We are quick to respond and resolve. We do not have power cords to provide unless they are attached ... moreto the item or unless stated that they are provided.
$
775.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
TEGAL PLASMA ETCHER 9XX ENDPOINT DETECTOR. ITEM CD 1010-00102.
$
230.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
10499.99
Buy It Now
Condition: Used
Location: Fullerton, United States
Unable to test unit due to missing plug connector on power cable. Pictures are of the actual unit. Power cable is missing the end connector. The item ... moreis sold AS-IS Where is. We allow local pick up.
$
3800.00
Buy It Now
$80.00 Shipping
Condition: New
Location: Carson City, United States
The Plasma Wand is a handheld device that requires no external gas hookup; just plug it in and start plasma cleaning! Plasma Wands are ideal for cleaning ... moreand plasma surface modification of large objects before bonding or printing.
$
37750.00
Buy It Now
Condition: Seller refurbished
Location: Palisades Park, United States
March PX-1000 Plasma System Fully Refurbished with 6 Month Warranty Quick Shipment Possible. Also available with 1000 Watt RF Generator A Video of this ... moresystem in operation is available on request. The listed price is for a base unit consisting of: RFX600 RF Generator- 600 watts One set of Power and Ground Shelves. All standard system features. 2 MFC Controllers Options available include: 1000 Watt ACG10B RF Generator Additional Shelf Sets Additional MFC Controllers Vacuum pumps for Oxygen service. Or Hydrocarbon pumps for non- Oxygen Plasma processes. Vertical door system Please note: Other plasma systems are available. Including smaller March PX-500 and PX-250 systems, as well as Plasma Systems from other manufacturers. Over 50 Plasma Systems in inventory. For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for many years You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our facility is located in the metropolitan NYC area. Customers are welcome to visit for acceptance testing/inspection. Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount.
$
850.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
4999.99
Buy It Now
$218.00 Shipping
Condition: Used
Location: Milton Freewater, United States
THIS IS A WORKING PLASMA ETCH PE-50. W/0113 RF POWER SOURCE.
$
2399.95
Buy It Now
$499.00 Shipping
Condition: For parts or not working
Location: Sacramento, United States
We work hard to include a lot of pictures of each item. It is also subject to change during peak periods.
$
2499.00
Buy It Now
$1880.00 Shipping
Condition: Used
Location: Israel
2008 pva tepla TECHNICS 100 plasma system Asher System Etcher Cleaner + Pump. Downstream microwave frequency O2 plasma asher for photoresist descum. 2.45 ... moreGHz regulated magnetron, 0-300W power. Max Short-Current Circuit: 16 A.
$
4900.00
Buy It Now
$350.00 Shipping
Condition: New
Location: Carson City, United States
$
175.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Tegal 50590-01 PCB Plasma Etching is used untested surplus and is being sold as-is. The physical condition is fair, but there are signs of previous ... moreuse and handling. Serial numbers or country of manufacture may vary.
$
79999.00
Buy It Now
Condition: Used
Location: Singapore
Model: VERSALINE ICP PM #2. Type: ICP Etcher. All/ only parts/ components/ cables as shown.
$
702.90
Buy It Now
$600.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Click here to see description.
$
60.00
Buy It Now
Free Shipping
Condition: New
Location: Kansas City, United States
This product is ideal for use in various processes, and its chemical properties ensure optimal performance.
$
425.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
160.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Tegal Model 903e Plasma ETCHER SST TEMPERATURE PROBE. LOGAN TECHNOLOGIES, LP 2547734070.
$
395.00
Buy It Now
Free Shipping
Condition: Used
Location: Rochester, United States
Tegal 99-165-003.
$
19250.00
Buy It Now
Condition: Used
Location: Longwood, United States
Plasma Etch BT-1/C Plasma Etcher / Plasma Cleaner. Includes vacuum pump. 70"x36”x32”Unit Weight.
$
280.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Logan Technologies, LP 2547602424.
$
275.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
TEGAL PLASMA ETCHER 9XX 98-247-002, TSI. Logan Technologies, LP 2547602424.
$
450.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
LAM RESEARCH 590/490 LOAD / UNLOAD ARM. LOGANSEMI 2547602424.
$
1200.68
Buy It Now
Condition: Used
Location: Buda, United States
SN: 1220218,TW63024. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole ... moreJackson at getsparesllc. (See Hours of Operation, above).
$
9999.99
Buy It Now
$350.00 Shipping
Condition: Used
Location: Milton Freewater, United States
March PX-500 Plasma System Fully Refurbished with 6 Month Warranty It can be made ready for very quick shipment. The listed price is for a base unit consisting ... moreof: RF Generator- 600 watts- Typically an RFX 600 as seen in separate photo. Note. A 300 watt power supply is shown in the photo with the PX-500, but it will be replaced with a 600 watt unit. One set of Power and Ground Shelves. All standard system features. 2 Flowmeter Gas Controllers Options available include: Additional Shelf Sets Vacuum pumps for Oxygen service. Or Vacuum Pumps with Hydrocarbon fluid for non- Oxygen Plasma processes. Please note: Other plasma systems are available. Including larger March PX-1000 and smaller PX-250 systems, as well as Plasma Systems from other manufacturers. Over 50 Plasma Systems in inventory. For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for over 35 years. You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our 50,000 square foot facility is located in the metropolitan NYC area. Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount.
$
9999.99
Buy It Now
$350.00 Shipping
Condition: Used
Location: Milton Freewater, United States
$
60.00
Buy It Now
Free Shipping
Condition: New
Location: Kansas City, United States
The unit type of this product is gal, which makes it easy to use and handle. It is designed to enhance performance and ensure optimal results.
$
23500.00
Buy It Now
Condition: Used
Location: Palisades Park, United States
Tegal 901e Plasma Etcher. Model:Tegal 901e Plasma Etcher. Includes: ENI ACG-10T 1000w 13.56 RF Generator S/N: 390 200-240v/ 1-Ph/ 60Hz. We are minutes ... morefrom Manhattan, and local to all 3 New York City Area Airports.
$
950.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
PLASMA-THERM 700 PLASMA ETCHER SBC COMPUTER PCB. Logan Technologies, LP 2547602424.
$
100.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
TEGAL PLASMA ETCHER 9XX 99-246-003. Logan Technologies, LP 2547602424.
$
1003.12
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Lam Research 4420 Rainbow Plasma Etcher System. This Lam Research 852-011061-103 is used working surplus. The physical condition is good, ... morebut there are signs of previous use and handling.
$
650.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
450.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
LAM RESEARCH 590/490 RECIPE / SERVICE MODULE.
$
326.70
Buy It Now
$100.00 Shipping
Condition: Used
Location: Ventura, United States
Drytek S100 Wafer Power System w Jennings RB2A-26N00 Relay& Cardwell Parts(RF) Drytek S100 Wafer RF Power System w Jennings RB2A-26N00 Relay& ... moreCardwell Parts Jennings RB2A-26N00 Glass Relay 20kVDC Cardwell 229-207-2 Roller Inductor Coil Cardwell 154-3-1 Air Variable Capacitor QTY = 4: High Energy Corp. HECHT50 120pf Ceramic Capacitors QTY = 1: High Energy Corp. CRC850 Surge Suppressor Aluminum plate dimensions: 6.0" x 6.25" This unit was pulled from a Drytek 100S Plasma Wafer Etcher Overall dimensions: 18.5" x 17" x 13.5" 45 LBS, If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48459
$
2100.00
Buy It Now
$400.00 Shipping
Condition: For parts or not working
Location: Canada
Up for sale is a used Plasmionique FLR 300-TT Plasma Coater as can be seen in the pictures. This has been tested for power on ONLY, therefore, it's sold ... moreas-is, as-described. Nothing else is included.No vacuum pump is included.
$
57.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
TEGAL 9XX PCB. SECOND SOURCE SPI-98-223-002 REV A.
$
650.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
LAM RESEARCH 490 LOWER ELECTRODE. LOGANSEMI 2547602424.
$
225.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Tegal Model 903e / 901E SHUTTLE REBUILD KIT.
$
18.70
Buy It Now
$4.47 Shipping
Condition: New – Open box
Location: Ventura, United States
Tegal 40-297-002 903e Pin for Plasma Etcher Description Tegal 40-297-002 903e Pin Manufacturer Tegal Manufacturer Part Number 40-297-002 Item/Ship Weight ... more2 LBS Tech Condition This item is new. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300. Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping We will professionally package. Using instapak foam-in-place if needed, and insure this product for safe delivery. Domestic shipping rates are available using the shipping calculator below, subject to terms& conditions. Please contact us for international shipping rates. Local pickup is encouraged for which all shipping and handling fees will be waived PID 27387 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package is too large to qualify. As such. We may need to contact you before shipping to make an adjustment to a different method which may change the price for shipping as well. This bug has been reported, but they gave no timeline for a fix, so if you are purchasing an item on the larger side(boxed size approx. 20x20x10 or more) and plan on choosing a USPS shipping meth
$
350.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
Tegal Model 903e Plasma Etche GAS INTERFACE PCB, ICG-5. 99-126-005 ICG-5 REV M.
$
1758.49
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi M-511E is used working surplus. The physical condition is good, but there are scratches from previous use and handling. Removed from a M-511E ... moreMicrowave Plasma Etching System. Removed from a M-511E Microwave Plasma Etching System.
$
24999.00
Buy It Now
Condition: Used
Location: Singapore
Model: Plasmalab 80 Plus/ 80+ ICP65. Type: ICP Inductively Coupled Plasma Etch Tool. Comdel CX-600S Ultra Stable 13.56MHz RF Generator.
$
175.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Tegal Model 903e Plasma Etcher FRONT PANEL INTERFACE PCB. Logansemi 2547602424.
$
2300.00
Buy It Now
$28.51 Shipping
Condition: Used
Location: Austin, United States
These products are subject to export restrictions under U.S. law. Item Condition: Used, as-is.
$
48980.00
Buy It Now
Condition: Seller refurbished
Location: North Billerica, United States
Reactive Ion Etcher with manual load Load lock. 6 MFCs-BCl3. Cl2, CH4, H2, Ar, O2) Pfeiffer Turbo TPH330PC and turbo controller on the Main chamber. Pfeiffer ... moreTurbo TMH 260C on the Load Lock. Advanced Energy RFX600 RF 600 Watt power supply. System sold as is.Where is.
$
32000.00
Buy It Now
Condition: Used
Location: Switzerland
STS / CPX Multiplex is a high-precision etcher-asher designed for the production of high quality microelectronic systems. CPX Multiplex has a 4-axis robotic ... morearm that can position the substrate at any angle, and the laser beam can be directed with precision.
$
250.00
Buy It Now
Condition: New – Open box
Location: Morgan Hill, United States
$
3505.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi 7200 Etcher VME Micro Computer Assembly is used working surplus. The physical condition is good. But there are signs of use and some scuffs ... moreand scratches from previous use and handling. Part No: VME Micro Computer Ebrain Bus Rack Enclosure 012163 Installed Cards Motorola Part No: 162-262 Hitachi Part No: VMPM-02N Hitachi Part No: I0TC-02N Removed from a Hitachi 7200 Etcher Tool Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 18"x18"x18" 26 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number, date or country of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 3 RL0 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A
$
201.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi Etcher Recorder Printer Assembly is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... moreRemoved from a Hitachi M-511E Microwave Plasma Etching System.
$
550.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
INCLUDES 8 EA. NUPRO SS-4BKTW-1C VALVES AND 6 EACH SS-42XVCR4 MANUAL VALVES. LAM RESEARCH 590/490 GAS MANIFOLD. LOGANSEMI 2547602424.
$
7500.00
Buy It Now
Condition: Used
Location: Phoenix, United States
Automated Plasma, Inc. Self Contained Plasma Etching System PC Boards. Stokes Pennwalt 3Q6-41 Vacuum system with blower. Pyramid Cabinet, Front Loading, ... moreSix (6) Panel.
$
25.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2549.80
Buy It Now
$600.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Tegal Corp 803 Inline Automatic Wafer RF Plasma Etcher Untested As Is Description Tegal Corporation 803 Inline Inline Automatic Wafter RF Plasma Etcher ... moreUntested As Is Many Useful Parts Cover removed in photos to show component views. This tool is heavy. Nearly 400 pounds, and will require freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Corp Manufacturer Part Number 803 Item/Ship Weight 350 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42622 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still sho
$
183.70
Buy It Now
$12.66 Shipping
Condition: Used
Location: Ventura, United States
Click here to see description.
1 2 3 4 5 6 7 8 9 10
 In 

Former Listings  
 
Diener Gala Instrumente Low Pressure Plasma Prep 5 Reactor Barrel Asher Etcher
All domestic(USA) and international customers welcome to bid(i.e. Canada. Europe, Australia, New Zealand, Taiwan, Japan, Korea and China) Excellent/Very Good Condition! Here's an opportunity to acquire a rare/hard-to-find Gala Instrumente Diener Electronic Plasma Prep 5 Low Pressure Plasma barrel asher in great cosmetic/working condition. Performance and capability specifications include: Reactor dimensions: depth 12.4" 315mm) Diameter 5.9" 150mm) Reactor volume: 300 in³(5 l) Reactor material: Stainless steel Fre quency generator: 200W Working pressure: 0.1- 0.6 mbar Internal electrode: aluminum sheet(included with unit along with tray) manual operation Does not include roughing pump(recommended pumping speed of 5m³/h on 2-stage pump) Includes user manual. Power cord and vacuum line(110V operation) Note: Unit has minor ... morecosmetic blemishes commensurate with light usage(please refer to pictures) For more details on low pressure plasma systems. Please use the following link: er Plasma brochure Shipping will be complimentary/free ONLY within continental USA. International Customers: Please inquire about shipping costs before purchase. International shipping charges will vary according to destination and requested service level. Customers are responsible for any customs related fees/taxes. Payment: We accept PayPal. Major credit cards(VI, MC and AMEX) both domestic/international wire transfers and PO's(i.e. purchase orders. NOTE: PO's are accepted ONLY from major accredited American Universities. Major US-based corporations(i.e. Fortune 1000, US Government Research Laboratories(i.e. US Naval Research Labs, JPL) and Agencies(i.e. NASA, DOE. Please contact us in advance if your institution falls into one of the categories outlined and need documentation, formal quote, company info(etc. to process PO. Note: California customers will be assessed sales tax unless a resale certificate is provided- Sorry. No choice in the matter. Please allow us to invoice you the exact amount prior to sending
March PX-250 Plasma System / PX250 / Plasma Asher / Etcher / Refurbished/Wrty
Please contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com(201) 592-1864 March PX-250 Plasma System Fully Refurbished with 6 Month Warranty Quick shipment available. A Video of this system in operation will be provided prior to shipment. Video of other March systems in operation after refurbishment are available for viewing. The listed price is for a base unit consisting of: 3 Shelf Configuration Dual Flowraters for gas All standard system features. 300 or 600 watt RF Generator Options available include: Vacuum pumps for Oxygen service. Or Hydrocarbon pumps for non- Oxygen Plasma processes. Please note: Other plasma systems are available. Including larger March PX-500 and PX-1000 systems, as well as Plasma Systems from other manufacturers. Over 50 Plasma Systems in inventory. For your reference. ... moreThe seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for many years. You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our refurbishment facility is located in the metropolitan NYC area. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount.
LFE Plasma Systems Etching Etcher System PFS/PDE/PDF/501
Fiber Optic Tools and Supplies BUY | SELL | TRADE surpluseq.com Description, LFE Plasma Systems Etching Etcher System PFS/PDE/PDF/501 Used LFE Plasma Systems Etching Etcher System PFS/PDE/PDF/501 Product Type: Type@ Model/Part No:PFS/PDE/PDF/501 Our Item ID: 251438 Description Specific Details: Used LFE Plasma Systems Etching Etcher System PFS/PDE/PDF/501. It has no vaccum pump and no RF-Generator. Kindly contact us if you have questions on this item. Actual item shown. Sold as pictured. Condition: Product Type: Used Terms of sales: Sold As-Is Physical Condition: Good Working Condition: Basic Functions Tested 250.00 | 40.00 x 42.00 x 41.00 | 0. Manufacturer"s Product Information: Description: Specific Details: | | | Contact, Mailing Address: SurplusEQ 329 W. Melinda Ln. Phoenix AZ 85027 U.S.A. Phone: Toll-Free: 623) 581-9777(877) 967-1904. ... moreBusiness Hours: 7:30am- 4:00pm(Arizona time) Monday to Friday Email: surpluseq.com View our other and. Payment, We accept the following forms of payment: Credit/Debit Cards: Accepted for USA and Canadian eBay transactions pursuant to our eBay Sales Policy* Cards accepted: Visa, MasterCard, Discover Card. And American Express. We may require shipping to the card holder's billing address and signed CC Authorization form. PayPal: Accepted for USA and Canadian transactions pursuant to our eBay Sales Policy* Shipping to a PayPal verified address may be required. Authorization for PayPal acceptance when the item is being shipped to outside of the U.S.A. at our discretion. Contact us with questions. Sales Tax: Arizona(AZ) sales tax in the amount of 8.3% is collected unless buyer provides a valid AZ Sales Tax Exemption Certificate prior to payment. Shipping, Use the shipping quote calculator supplied by eBay. Or contact us for a shipping quote for freight items. Do not hesitate to contact us directly if you feel the shipping calculated using the eBay quote calculator is excessive. We generally do not ship on the buyer's shipping account. Except in unus
March PX-250 Plasma Cleaner/Etcher
You are bidding on a used March PX-250 Plasma Cleaner/Etcher. The sale includes the March MPS-300 RF unit and switching power supplies. This was purchased at a company auction and functioned when removed from service. I have no way of testing. So the unit is sold as is and all sales are final. Due to the size and weight. This will need to be shipped freight. I will make arrangements wit the buyer to ship as efficiently and cost effective as possible. Please contact me with any questions. PX-250: Dimensions: 597 W x 413 H x 508 D(mm) 23.5 W x 16.25 H x 20.0 D(in. Weight: 45.3 kg(100 lbs. Stainless Steel Chamber: 229 W x 229 H x 305 D(mm) 9.0 x 9.0 x 12.0 D(in. Max. 3 adjustable electrodes/shelves: 177.8 x 203.2 mm(7.0 x 8.0 in. RF Power: 13.56 MHz. 300 W, Solid State Control System: Microprocessor-controlled Mass flow controllers Pump System: ... more11CFM Prepared. Charged and tested with Krytox ® fluid or hydrocarbon fluid
Plasma-Therm System VII Tabletop RIE or PECVD Reactive Ion Etcher with Turbopump
PLASMA-THERM- System VII Tabletop RIE or PECVD Reactive Ion Etcher with Turbo Pump option Inventory# 57851*Before purchasing this system. Please note that the refurbishment process does not begin until the equipment has been purchased. Please contact us for lead-time. Convertible to PECVD System. PC controller. Old PC but sold in good working condition. System can be converted between RIE and PECVD. Electrode holds wafers up to 200mm. Four process gas inputs each with MFC mass flow controllers. Turbo pump and corrosive series vacuum pumps. 500W 13.56 MHz RF Generator with matching network. Gas input via shower head. Last used O2. CHF3, CF4, SF6. Can be sold in untested as-is condition. Or fully checked out and refurbished by one of our technicians, with our standard right to return and 3 month parts warranty. Please contact us directly for ... moreas-is pricing. Please contact us for additional information on this system. Including lead-time, or for any of your other equipment needs via eBay mail, directly at. Or at phone# 732) 863-9500. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Please contact us to discuss suitable payment arrangements. BID SERVICE has been meeting the equipment needs of the Semiconductor and Scientific communities for the past 30 years. Our expert refurbishing services. Demonstration capabilities, and broad selection of inventory add up to value for our customers. Visit us on the web at: www.bidservice.com
March Instruments PX-1000 Plasma Etcher/Cleaner
March Instruments PX-1000 Plasma Etcher/Cleaner Inventory# 58161*Before purchasing this system. Please note that the refurbishment process does not begin until the equipment has been purchased. Please contact us for lead-time. Plasma Etcher/Cleaner. Batch systems for plasma cleaning or etching. Currently configured with only one 17 in. x 14 in. shelf set. Can accommodate multiple shelves. RFX-600 13.56 MHz. 600W RF generator. Two gas inputs. Includes vacuum pump. Can be sold in untested as-is condition. Or fully checked out and refurbished by one of our technicians, with our standard right to return and 3 month parts warranty. Please contact us directly for as-is pricing. Please contact us for additional information on this system. Including lead-time, or for any questions via eBay mail or at phone# 732) 863-9500. Please note: for purchases ... moreof this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Please contact us to discuss suitable payment arrangements. BID SERVICE has been meeting the equipment needs of the Semiconductor and Scientific communities for the past 30 years. Our expert refurbishing services. Demonstration capabilities, and broad selection of inventory add up to value for our customers.
Axic MultiMode HF-8 / HF8 Reactive Ion Etcher / RIE / Plasma System / Warranty
If you have questions. Please feel free to contact us through ebay mail, or directly at: nrscientific@gmail.com or at 201 592 1864. Axic Multimode HF-8 Plasma Processing System RIE/ Reactive Ion Etching Parallel plate true RIE. Dual gas system. These systems are available in a demonstrated fully operational condition. With a 30 day warranty at the listed price. They can also be made available fully reconditioned. Complete with an appropriate refurbished vacuum pump, and a longer warranty- at a higher price. These systems are in excellent condition. As is, and were recently removed from service from a major ink jet manufacturer's closed facility. They are offered at a small fraction of original purchase price. The seller. N&R Scientific, has been providing the highest quality refurbished equipment worldwide for many years. You can ... morepurchase equipment in complete confidence that the equipment provided will function properly and that it is accurately described. Equipment demo prior to shipment is available at our site or via video. Please note: equipment sold by our company is free of hidden defects. It has not been cannibalized nor otherwise"harvested" for good parts by exchanging faulty parts from other systems. It is not another user's problem equipment that has been discarded. The seller is knowledgeable about this equipment. And can stand behind the sale. In other words, you will not be gambling with your purchase. Several systems are available at this time. And can be provided with almost any level of refurbishment or warranty. The equipment is located in our Metropolitan New York City area facility. Please note: for purchases of this size. We are unable to accept PayPal or credit cards, unless special arrangements are made. Kindly contact us regarding payment options. Professional crating/packing is available to insure that equipment is received in excellent condition. We are also happy to make appropriate shipping or delivery arrangements anywhere in the world. Normal 0 For your referenc
March PX-500 Plasma System / PX500/ Plasma Asher / Etcher / Quick Shipment
March PX-500 Plasma System Fully Refurbished with 6 Month Warranty It can be made ready for very quick shipment. The listed price is for a base unit consisting of: RF Generator- 600 watts- Typically an RFX 600 as seen in separate photo. Note. A 300 watt power supply is shown in the photo with the PX-500, but it will be replaced with a 600 watt unit. One set of Power and Ground Shelves. All standard system features. 2 Flowmeter Gas Controllers Options available include: Additional Shelf Sets Vacuum pumps for Oxygen service. Or Vacuum Pumps with Hydrocarbon fluid for non- Oxygen Plasma processes. Please note: Other plasma systems are available. Including larger March PX-1000 and smaller PX-250 systems, as well as Plasma Systems from other manufacturers. Over 50 Plasma Systems in inventory. For your reference. The seller is N&R Scientific ... moreCo. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for over 35 years. You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our 50,000 square foot facility is located in the metropolitan NYC area. Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount.
March Instruments PX 1000E8 Plasma Asher/Etcher with Pneumatic Vertical Door
March Instruments PX 1000E8 Plasma Asher/Etcher with Pneumatic Vertical Door Inventory# 58786*Before purchasing this system. Please note that the refurbishment process does not begin until the equipment has been purchased. Please contact us for lead-time. Batch system for plasma cleaning or etching. Currently configured with one shelf set: 17 in. x 14 in. Can accommodate multiple shelves. RFX 600 13.56 MHz RF generator. Two gas inputs. Does not include vacuum pump at this price. Additional cost depending on type required. Can be sold in untested as-is condition. Or fully checked out and refurbished by one of our technicians, with our standard right to return and 3 month parts warranty. Please contact us directly for as-is pricing. Please contact us for additional information on this system. Including lead-time, or for any questions via eBay ... moremail or at phone# 732) 863-9500. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Please contact us to discuss suitable payment arrangements. BID SERVICE has been meeting the equipment needs of the Semiconductor and Scientific communities for the past 30 years. Our expert refurbishing services. Demonstration capabilities, and broad selection of inventory add up to value for our customers.
Branson/IPC Model L2101/3 Plasma Barrel Asher Etcher System w/ 9110 Controller
This auction is for a Branson/IPC Model L2101/3 Barrel Asher System. System includes: Branson/IPC Model L2101/3 Barrel Asher Serial# M912030 Quartz barrel: 12" Diameter x 21" Deep. Quartz Barrel: 12" Dia. x 20" Deep Branson/IPC Model 9110 Controller Serial# 10006050 Branson/IPC Power Distribution Box Serial# 10006045 Branson/IPC Cabinet/Rack Various Cords/Cables As you can see from the pictures. This unit is in nice, clean condition with minor wear. The system looks to be mostly complete, but I lack the correct power hook-ups/cords to fully test functionality. However, I do have the cord for the controller and it powered up(see picture) Feel free to contact me with any questions. Thanks for looking and happy bidding! Powered by The free listing tool. List your items fast and easy and manage your active items. 1?RcmdId ... moreViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2B%3E%3B1%3F-143bc7e7ec6-0xeb-
D&W 425 Plasma Etcher with Stainless Steel Chamber
D&W 425 Plasma Etcher with Stainless Steel Chamber. Condition has been listed for parts or not work due to the fact I have no way to test or know for sure what parts are not included to make this a functioning unit. Please review pictures. This is being sold as is. If you have any questions feel free to ask. I will be happy to provide additional pictures. Note. There is a second generator included with this auction. It's a model ANM 100. It's condition is unknown as well. Shipping zip code is 55746 if you would like to get your own quotes for shipping. We do have a loading dock. Weight is estimated at 900lbs. Please do you research before bidding.
TEGAL Model 211 Plasmaline Plasma Etcher / Asher with 211 RFG Power Supply
TEGAL Model 211 Plasmaline Plasma Etcher/ Asher with Model 211 RFG Power Supply*Powers Up! ~14 Day Right of Return~ Because of the Size& Weight of this item. Please NO INTERNATIONAL BIDDERS. S/N 2110298(both) Please note: This item is in GOOD/FAIR cosmetic condition. Unit has some scratches and chipped paint on the outer casing. See pics. This Unit was pulled from a working micro-electronics lab. It powers up and all of the buttons and dials respond when pressed but is out of our area of expertise thus we do not know how to properly test it. You are welcome to send questions about the item and if you are a local buyer you are welcome to come and inspect it prior to buying/bidding. Please call us for hours of operation. 626-472-7500. We do not have any accessories for this unit; only what is pictured. Unit carries a 14 Day Right of Return. ... morePlease Note: The total weight of this item including packaging is: 108 lbs. We will palletize this item for shipping. We will This item carries a 14 Day Right of Return provided that our warranty seals are intact and there is no evidence of user misuse; in order to allow the buyer time to confirm the unit is in working condition. And ONLY IF the item listed above is not as pictured or described in the listing. Items are as pictured below. If you are unsure about the completeness or condition of an item. Please contact us before placing your bid! Please read all of our terms of auction below before placing a bid. Terms of Auction: Payment arrangements are to be made within 3 days of purchase of item. You will receive an email from eBay with payment instructions and a place to tell us how you would like to complete your purchase. A courtesy Payment Reminder will be sent on the 5th day of non payment. Item will be placed back upon eBay if payment is not received within 8 days of the closing of the item. Only items pictured above are included in the listing. There are no manuals or power cords included unless otherwise noted in the picture or description. All items are sold
Trion Technology Plasma Etcher Deposition Wafer With RFX 600 Advanced Energy
Normal 0 false false false EN-US X-NONE X-NONE MicrosoftInternetExplorer4 Trion Technology Plasma Etcher Deposition Wafer With RFX 600 Advanced Energy We don't know how to run this machine Therefore we Sell As Is! 1024x768 Normal 0 false false false EN-US X-NONE X-NONE MicrosoftInternetExplorer4 Shipping: This item is available for local pick up in the Oxnard. CA area. If you would like this item shipped please let us know before purchase so we can make the proper arrangements. Please be aware that if you reside in California we charge 8.00% Sales Tax. Returns: 14 Day Return Policy. If the items is not as describe please contact us within 14 days of arrival unless otherwise specified. We are not responsible for return shipping. Please note what is pictured is what is included in the sale unless otherwise specified. If you have any questions ... moreregarding the item please Don’t hesitate to Contact Us at: 818.441.8941
Branson/IPC M9060 Plasma Etcher/Stripper With Model 3000c Controller and Gen
Comes with: Branson IPC Etcher Model: M9060 Reactor Center 33903 1013 Branson/IPC Controller Model 3000c P/N 10452-D Branson/IPC Generator Model PM 1/9 Generator V: 120 Phase: SGL H:60 F.L. Amps: 15
March Systems Pyrex Plasma Etcher Etching Chamber Asher Plasmod 6" Diameter
This auction is for a single item: March Systems Pyrex Plasma Etcher Etching Chamber Asher Chamber Plasmod Hoses. Fittings and one clamp included 6" Diameter 7.5" Tall Condition: Used. No chips. inner tube discolored a bit. side port repaired to as new(see image) Our aim is to have every buyer satisfied with their purchase. If you require additional information not mentioned in the description or further clarification of the functioning condition of an item. Please ask and we will respond to your request swiftly and to the best of our knowledge. Please refer to our“Store Pages” links located on the left side of our store homepage to review our full policies and detailed FAQ.
March PX-1000 Plasma System / PX1000 / Plasma Asher / Etcher / 6 Month Warranty
March PX-1000 Plasma System Fully Refurbished with 6 Month Warranty Quick Shipment Possible. Also available with 1000 Watt RF Generator A Video of this system in operation is available on request. The listed price is for a base unit consisting of: RFX600 RF Generator- 600 watts One set of Power and Ground Shelves. All standard system features. 2 MFC Controllers Options available include: 1000 Watt ACG10B RF Generator Additional Shelf Sets Additional MFC Controllers Vacuum pumps for Oxygen service. Or Hydrocarbon pumps for non- Oxygen Plasma processes. Vertical door system Please note: Other plasma systems are available. Including smaller March PX-500 and PX-250 systems, as well as Plasma Systems from other manufacturers. Over 50 Plasma Systems in inventory. For your reference. The seller is N&R Scientific Co. Inc. We have been providing ... morethe highest quality refurbished and fully guaranteed equipment worldwide for many years You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our facility is located in the metropolitan NYC area. Customers are welcome to visit for acceptance testing/inspection. Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount.
Tegal 801 Inline Plasma Etcher
Tegal 801 Inline Plasma Etcher Inventory# 36278*Sold AS IS* Inline Plasma Etcher. Fully automatic. Microprocessor-based, plasma chemistry etcher designed especially for the etching of thin films deposited on semiconductor wafers. All gas flow rates controlled by mass flow controllers. AS IS items will have different return and warranty conditions. Please contact us directly for as-is pricing. Please contact us for additional information on this system. Including lead-time, or for any questions via eBay mail or at phone# 732) 863-9500. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Please contact us to discuss suitable payment arrangements. BID SERVICE has been meeting the equipment needs of the Semiconductor and Scientific communities for the past 30 years. Our ... moreexpert refurbishing services. Demonstration capabilities, and broad selection of inventory add up to value for our customers.
SPI plasma prep II plasmaprep plasma etcher / cleaner - vacuum uhv vacuum
This listing is for a SPI plasmaprep II. PLEASE NOTE when the unit was apart to have the original rubber vacuum lines replaced the plasma chamber rolled on the counter. Into a sink and cracked. The crack isnt big enough to be seen in the photos, but the plasma chamber IS cracked. Otherwise the unit is perfectly operational. If this unit sells at the BIN price I will purchase and install a brand new plasma chamber from the OEM(please allow additional lead time for this) If the'best offer' option is used the sale will be for the unit AS-IS. SPI sells these for just under 11k$ new- get this one at a small fraction of the cost!
Branson/IPC S3003 Plasma Etcher/Stripper With Model 3000c Controller and Gen
Comes with: Branson IPC Etcher Model: S3003c M9060 Reactor Center 33903 1013 Branson/IPC Controller Model 3000c P/N 10452-D Branson/IPC Generator Model PM 1/9 Generator V: 120 Phase: SGL H:60 F.L. Amps: 15
1 USED YIELD ENGINEERING - YES-R3 PLASMA ETCHER/CLEANING SYSTEM
Welcome to Canarsky& Daughters. We are here to sell these items. We are looking forward to doing business with you. So have fun browsing and buying. Reasonable offers are always welcomed. SEARCH OUR STORE BY CLICKING HERE! ATTN: Please read all of our updated terms and conditions posted below before bidding. If you have difficulty with these terms. Please write us with your concern. By placing your bid. You accept these terms and agree to abide by them. THIS LOT CONSISTS OF: 1 USED YIELD ENGINEERING- YES-R3 PLASMA ETCHER/CLEANING SYSTEM. 115V/ 60htz. 1.5 amps. 175 watts. PLEASE NOTE: THIS ITEM IS VERY LARGE/HEAVY AND MUST BE SHIPPED BY TRUCK. OR OTHER SHIPPING SERVICE, AND/OR IS AVAILABLE FOR LOCAL PICKUP. SHIPPING MUST BE ARRANGED BY BUYER. WE ARE NOT ABLE TO CALCULATE THE CURRENT COST OF SHIPPING THIS ITEM. PLEASE CHECK WITH YOUR SHIPPING ... moreSUPPLIER. BUYER IS RESPONSIBLE FOR ARRANGING FREIGHT, AND NOTIFYING US WHEN PICK UP IS ARRANGED. CONDITION: USED SURPLUS. NO REASONABLE OFFER REFUSED. The approximate shipping weight is 250 lbs. All items are sold"as is" Unless described as"new" above. Items are used. We do not have the facilities to test most of these items. You are only buying the item(s) pictured/described. ALL TECHNICAL QUESTIONS/PROBLEMS SHOULD BE ADDRESSED THROUGH EBAY CHANNELS FOR THE FASTEST POSSIBLE SERVICE. IF SENT TO OUR EMAIL ADDRESS. YOUR CORRESPONDENCE WILL GO TO THE JUNK FOLDER, AND WILL NOT GET ADDRESSED. Please note. We are not experts in these fields and so may not be able to give you the answers you require. We will, however do our best to find the information you request. THANK YOU FOR YOUR COOPERATION. This item must be settled for immediately. All items not settled for in full will be relisted or sold at our discretion and appropriate feedback will be left. Please be sure to enclose a proper and correct shipping address(street address) EBay ID number. The total due is always equal to the total of 1) the agreed amount of the item and 2) the a
Refurbished Branson IPC 2000 3000 4000 Plasma Asher Etcher Descum
We provide fully refurbished Branson IPC 2000 3000 4000 Barrel Plasma Etcher Asher Descum Systems We also provide refurbished Branson L3200 Plasma asher Systems. Installation and training and warranty are optional at extra charge. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 ... more| Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
Refurbished Tegal 901e Tegal 903e Plasma Etcher
We provide fully refurbished Tegal 901e for Plasma Etcher. We also provide refurbished Tegal 903e Plasma Etch Systems. Installation and training and warranty are optional at extra charge. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow ... more4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
Refurbished Matrix 303 Plasma Etcher
We provide fully refurbished Matrix 303 for Plasma Etcher. We also provide refurbished Matrix 105 Plasma Asher Descum Systems. Installation and training and warranty are optional at extra charge. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam ... moreRainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
Lam Research Rainbow 4400B Plasma Etcher Dry Etcher RIE Used Equipment (SN:2357)
We sell the Lam Research Rainbow 4400B Plasma Etcher Dry Etcher RIE Used Equipment(SN:2357) at AS IS. Refurbishment and installation with warranty is optional at extra cost.Please contact us if you want to buy it at refurbishement condition. We also have Lam Reareach AutoEtch 490;Lam Reareach AutoEtch 590;Lam Reareach AutoEtch 690;Lam Reareach AutoEtch 790;Lam Reareach Rainbow 4420;Lam Reareach Rainbow 4520;Lam Reareach Rainbow 4500;Lam Reareach Rainbow 4600;Lam Reareach Rainbow 4728B. We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson ... moreIPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country.
Lam Research Rainbow 4528B Plasma Etcher Dry Etcher RIE Used Equipment(SN 2740)
We sell the Lam 4528 B(SN 2740) at AS IS. Refurbishment and installation with warranty is optional at extra cost.Please contact us if you want to buy it at refurbishement condition. We also have Lam Reareach AutoEtch 490;Lam Reareach AutoEtch 590;Lam Reareach AutoEtch 690;Lam Reareach AutoEtch 790;Lam Reareach Rainbow 4420;Lam Reareach Rainbow 4520;Lam Reareach Rainbow 4500;Lam Reareach Rainbow 4600;Lam Reareach Rainbow 4728B. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson ... moreIPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please c
Lam Rainbow 4528B Plasma Etcher Dry Etcher RIE Used Equipment(SN 2648)
We sell the Lam 4528 B(SN 2648) at AS IS. Refurbishment and installation with warranty is optional at extra cost.Please contact us if you want to buy it at refurbishement condition. We also have Lam Reareach AutoEtch 490;Lam Reareach AutoEtch 590;Lam Reareach AutoEtch 690;Lam Reareach AutoEtch 790;Lam Reareach Rainbow 4420;Lam Reareach Rainbow 4520;Lam Reareach Rainbow 4500;Lam Reareach Rainbow 4600;Lam Reareach Rainbow 4728B. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson ... moreIPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please c
Lam Research Rainbow 4528B Plasma Etcher Dry Etcher RIE Used Equipment (SN:2907)
We sell the Lam Research Rainbow 4528B Plasma Etcher Dry Etcher RIE Used Equipment(SN:2907) at AS IS. Refurbishment and installation with warranty is optional at extra cost.Please contact us if you want to buy it at refurbishement condition. We also have Lam Reareach AutoEtch 490;Lam Reareach AutoEtch 590;Lam Reareach AutoEtch 690;Lam Reareach AutoEtch 790;Lam Reareach Rainbow 4420;Lam Reareach Rainbow 4520;Lam Reareach Rainbow 4500;Lam Reareach Rainbow 4600;Lam Reareach Rainbow 4728B. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: ... moreMatrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States.
Plasma Therm Plasmatherm Unaxis VLR Etcher Versalock 700 Dry Etch
This is a good used spare tool. Buyer will be responsible for freight charges. Can be view at my warehouse by appointment. I have this tool listed elsewhere and reserve the right to end the auction early. Make an offer if you like. If you'd like to come have a look. Give us a call at the following. We are in the 95002 zip code 408.946.2315 Steve 1.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28b0g%60%60%3E1-1474bc59571-0x10f-
March Plasmod Plasma Cleaner Etcher 13.56 MHz & Deep Pyrex Chamber / Warranty
Payment Policy Brand New Sony CyberShot 8 MP Di March Plasmod Plasma Cleaner Etcher 13.56 MHz& Deep Pyrex Chamber/ Warranty Attention All Buyers If there is anything wrong with the item that you have purchased. Please do not leave negative feedback and do not open any disputes with eBay or PayPal. Just contact us directly and we will fix the problem quickly. Item Description March Plasmod Plasma Cleaner Etcher 13.56 MHz& Deep Pyrex Chamber/ Warranty. Used Condition- Used Condition Not Working- For Repair/ Parts Only March Plasmod Plasma Cleaner Etcher& Deep Pyrex Chamber Model: Plasmod Chamber: Pyrex. 5.9" L(15 cm) x 4.15" D(10.5 cm) RF Generator: Solid State Power Level: 0-250 Watts Output Frequency: 13.56 MHz Power: 115VAC. 50/60 Hz, 15A NOTE: this unit does not power on. As a liquidation business we do not have expertise/ ... moretools to test this unit. This auction is on an AS-IS/ Parts/ Repair basis, No Return, No Refund, please bid accordingly. Inventory Number: 4K95612-07-14 Item Condition Used Condition Not Working- For Repair/ Parts Only. No Return/ No Refund is accepted Actual Picture of the item is provided. What you see in the picture(s) is what you get Note: In Quantity Auctions. The serial number of the item that you receive may vary from the item shown above. Payment Policy 1) PayPal: We accept PayPal from all verified accounts. Note: For large payments or payments from some foreign countries we may require other electronic means of payment instead of PayPal. 2) Credit Cards: We accept credit card payments through PayPal only. 3) Checkout: All US buyers should follow eBay checkout process to receive the proper invoice. International buyers should contact us to for an update on the shipping costs before they can follow eBay checkout. 4) Sales Tax: Californian buyers pay a Sales Tax of 8.625% unless a valid Resale Certificate or Tax exemption Certificate number is remitted by email or fax prior to submitting payment. 5) Checkout: An automated Non-Paying Bidder Alert will be filed if pa
AUTOMATED PLASMA Circuit Board Plasma Etcher
Automated Plasma. Inc. Plasma Etcher Pyramid Cabinet Front Loading Six[6] Panel Self Contained AS-IS Condition Condition: Used and is being sold in*as-is* condition only! Pictures above represent the actual item! Lot includes: Item in foreground of fotos directly related to above description. Does not include anything in background of fotos. Or any tables. Fork trucks or pallets. We ship Internationally! However. Shipping or crating is not included in the listed price. Please review our*Shipping and Payment Terms* before purchasing. Purchaser has the choice of arranging for pickup at our warehouse or requesting a shipping quote thru one of our Corporate Carriers. Purchasers of our items must first complete the eBay checkout process and will be billed for shipping separately. Purchasers also have the choice of using a carrier of their choice. ... moreEither providing us with the carriers account number for direct billing or contracting the carrier themselves for pick-up. Crating charges. If necessary. Will be billed separately and shall be paid in advance- with freight charges[if any] before release of shipment. Thanks for your interest! All dollar amounts are USD and Ex Works
MTI Corporation AfterGlo Plasma Etcher Track AGLO01
MTI Corporation AfterGlo Plasma Etcher Track AGLO01 Item is untested and sold as is.
Lam Research Lam AutoEtch590 Plasma Etcher Dry Etcher Semiconductor equipment
It is complete. Working condition. But w e did not test the equipment. We sell the system at AS IS condition without warranty and refund.Inspection is available against appointment. Lam AutoEtch 590 description for reference only. 1.1 The Lam Research Corporation(LRC) Lam AutoEtch590 is an in-Line cassette to cassette. Fully automated, single wafer, double air-locked. Parallel plate plasma etching system. The etching program is saved on a recipe programming module. The entire Lam AutoEtch590 system is under automatic, closed-loop feedback control and is double keylocked to prevent accidental alteration of the process. The Lam AutoEtch590 has a CRT monitor display for monitoring the machine status and the process parameters. The operating pressure. RF power, electrode spacing, gas flow rates, and step terminating modes are programmable for ... moreeach step. 1.2 Lam AutoEtch590 plasma etcher plasma etch semiconductor equipment Process Chamber A wafer is transferred from a send cassette to the entrance station. From the entrance station. It moves into an entrance air lock and then into the process chamber where it is etched by a computer controlled gas plasma discharge. The wafer is then moved from the process chamber to the exit airlock, from the exit airlock to the exit station, and is finally transported to the receive cassette. The double airlocks allow the process chamber to remain under vacuum at all times. The process chamber is located behind the operator Interface display. The operator interface is hinged and will swing up allowing visual access to the process chamber. The process chamber is equipped with quartz windows on the front and back. Each· window has a wire mesh screen to contain the RF field and a plexiglass cover for UV filtering. The windows at low for observation of the etching process. The front window plate also serves as the mounting point for the capacitance manometer and a pressure switch. The major sub-systems of·the process chamber are: the Iower electrode assembly. The electrode gap
Lam AutoEtch 590 Plasma Etcher Used Semiconductor Equipment
Original Equipment Manufacturer: Lam Research Condition: AS IS condition(No chiller. No pump, With ENI OEM 12 RF Generator) Wafer Size: 6 inch configuration. Valid Time: Subject to prior sale Lead Time: Ready to go Location: Silicon Valley. CA, U.S.A. Warranty: No warranty and refund Lam AutoEtch 590 description for reference only. 1.1 The Lam Research Corporation(LRC) Lam AutoEtch590 is an in-Line cassette to cassette. Fully automated, single wafer, double air-locked. Parallel plate plasma etching system. The etching program is saved on a recipe programming module. The entire Lam AutoEtch590 system is under automatic, closed-loop feedback control and is double keylocked to prevent accidental alteration of the process. The Lam AutoEtch590 has a CRT monitor display for monitoring the machine status and the process parameters. The operating ... morepressure. RF power, electrode spacing, gas flow rates, and step terminating modes are programmable for each step. 1.2 Lam AutoEtch590 plasma etcher plasma etch semiconductor equipment Process Chamber A wafer is transferred from a send cassette to the entrance station. From the entrance station. It moves into an entrance air lock and then into the process chamber where it is etched by a computer controlled gas plasma discharge. The wafer is then moved from the process chamber to the exit airlock, from the exit airlock to the exit station, and is finally transported to the receive cassette. The double airlocks allow the process chamber to remain under vacuum at all times. The process chamber is located behind the operator Interface display. The operator interface is hinged and will swing up allowing visual access to the process chamber. The process chamber is equipped with quartz windows on the front and back. Each· window has a wire mesh screen to contain the RF field and a plexiglass cover for UV filtering. The windows at low for observation of the etching process. The front window plate also serves as the mounting point for the capacitance manometer and a pressure swit
Lam Research Rainbow 4500 Plasma Etcher
LAM Rainbow 4500 with Envision. Hine indexers, and BAC. MFCs cleaned and calibrated. Sold as-is