Advanced Energy Pinnacle Dc Magnetron Wedge Bonder 13 56Mhz Rf Generator Dc Magnetron Power Supply Rf Plasma Mks Eni Rfpp Rf Rf Plasma Generator Plasma Generator Wedge Wire Bonder Advanced Energy Mdx Rf Match Amat Astex 13 56 Mhz 13.56Mhz Generator Amat Rf Generator Amat Comdel Power Supply Amat Type Vcr 13 56 Mhz Rf Slit Valve Wafer Prober 13 56Mhz Tel Unity Power Supply Ae Vacuum Gate Valve Mks Astex Gasonics Source Amat Wire Bonder Rf Power Generator Die Bonder 1 Torr Rf Generator Power Supply Temescal Digital Dynamics Uhv Mks Residual Gas Analyzer Kla Tencor Amat P5000 Karl Suss Uhv Vacuum Electroglas Amat Endura Wafer Transfer Ae Apex Board Amat Inficon Transpector Plasma Etcher 300Mm Wafer Asa Vacuum Leybold Inficon Mask Aligner Amat Mks 100 Torr Assembly Amat Vat Gate Sputtering Nikon Nsr Torr Pressure Transducer Anelva Seiko Seiki Vcr Female Pendulum Valve Unity Ii Baratron Pressure Transducer Ball Bonder Refurbished Mfc- 10 Torr Mks Baratron Capacitance Dc Plasma Transfer Robot Ultratech Stepper Rf Power Supply Ion Gauge Amat Quantum Daihen Turbo Pump Controller Mks Baratron Pressure Transducer Novellus Concept Cti Cryogenics Brooks Automation Micro Ion Power Supply Readout Precision Sensors Wafer Probe Mfc Brooks Solid State Power Generator Remote Plasma Source Pcb Board Card Bonder Refurbished Tel Unity Ii Amat Centura 2 Mks Pri Automation 200Mm Wafer Mks Hps Transfer Vacuum Valve Nikon Nsr-S307e Capacitance Manometer Genesis Rf Generator Ionizing Air 200 Sccm Baratron Capacitance Manometer Throttle Valve Right Angle Vacuum Valve Boc Edwards Probe Station Kla Ait Granville Phillips 500 Sccm Dc Plasma Generator Vacuum Deposition Pirani Gauge Temptronic Plasma Asher Bonder Model Thin Film Varian Turbo-V Vacuum Gauge Controller Vacuum Gate Valve Rebuilt 1000 Torr Turbo Molecular Pump Wafer Transfer Robot Advanced Energy Apex Riken Keiki Asml 4022 6 Asa Wafer Handler Boc Edwards Vacuum Rf Match Electron Beam Sccm N2 Kulicke Soffa Asm Epsilon 3200 Ae Rf Tel Unity 2 Prober Probe Etcher Hitek Power Pfeiffer Balzers Probe Manipulator Mks Astron Mks Instruments Type Vcr Female Wafer Handling 13 56 Mhz Power Match Network Adixen Alcatel Advanced Energy Rf Newport Optical Fixture Tel Tokyo Electron Sputter Convectron Gauge Mks Baratron Cold Cathode Gauge Ion Beam Throttle Valve Controller Celerity Mfc Ufc-1661 Cold Cathode Wafer Handling Robot Sputtering Power Supply Ultrasonic Generator Mks Type Genmark Vacuum Pendulum Valve Ion Source Wafer Prealigner Single Board Computer Assembly Rev 8 Wafer Ae Advanced Energy Hitachi S-9300 Plasma Etch 3013 Rf Valve Controller Vat Valve Active Gauge Wafer Loader Electron Gun Esi Cka Thermo Chiller Angle Valve Film Thickness Gauge Controller Asyst Technologies Nikon Nsr-S205c Signal Conditioner Tokyo Electron Pirani Vacuum Rf Match Network Cryo Pump Base Probe Manipulator Balzers Ion Pump Hitachi Mu-712E Glassman High Voltage Mdx Pinnacle
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
2495.00
Buy It Now
$108.31 Shipping
Condition: Used
Location: San Diego, United States
Here is a clean and excellent condition PLASMA ETCH Model PE-25 Plasma Cleaner. This unit is virtually unused, and is in perfect working and near to new ... morecondition inside and out. Operates on 115v AC with included Power Cord. Includes Gas and Vacuum connection tubing. The PE-25 currently retails new for $5600.00. Made in the U.S.A.NOTE: Requires connection to a vacuum pump. A vacuum pump is not included in the sale. Features: Electrode Configuration: One Horizontal (3.
$
60.00
Buy It Now
Free Shipping
Condition: New
Location: Kansas City, United States
The unit type of this product is gal, which makes it easy to use and handle. It is designed to enhance performance and ensure optimal results.
$
60.00
Buy It Now
Free Shipping
Condition: New
Location: Kansas City, United States
This product is ideal for use in various processes, and its chemical properties ensure optimal performance.
$
5625.00
Buy It Now
Condition: For parts or not working
Location: Bosque Farms, United States
For us to check rates, we need the following info: 1. We are quick to respond and resolve. We do not have power cords to provide unless they are attached ... moreto the item or unless stated that they are provided.
$
175.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Tegal 50590-01 PCB Plasma Etching is used untested surplus and is being sold as-is. The physical condition is fair, but there are signs of previous ... moreuse and handling. Serial numbers or country of manufacture may vary.
$
2850.00
Buy It Now
$148.60 Shipping
Condition: Used
Location: Pioneer, United States
$
5900.00
Buy It Now
$350.00 Shipping
Condition: New
Location: Carson City, United States
Pump not included.
$
4900.00
Buy It Now
$350.00 Shipping
Condition: New
Location: Carson City, United States
$
10499.99
Buy It Now
Condition: Used
Location: Fullerton, United States
Unable to test unit due to missing plug connector on power cable. Pictures are of the actual unit. Power cable is missing the end connector. The item ... moreis sold AS-IS Where is. We allow local pick up.
$
19250.00
Buy It Now
Condition: Used
Location: Longwood, United States
Plasma Etch BT-1/C Plasma Etcher / Plasma Cleaner. Includes vacuum pump. 70"x36”x32”Unit Weight.
$
4000.00
16h 27m 20s
0 bids
Condition: For parts or not working
Location: Gilroy, United States
The March and ENI units power up fine, and their digital displays are bright and clear, but I could not get them to do much.
$
115000.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
Capable of sequential and simultaneous deposition of up to two targets at once. Substrates are loaded automatically by the load lock robot. Manual operation ... morealso available. RF Plasma Cleaning for conditioning substrates prior to deposition.
$
41999.00
Buy It Now
Condition: Used
Location: Singapore
Type: Reactive Ion Etcher (RIE). Make: Trion Technology. Model: Phantom II. Advanced Energy 3155082-220 RF Generator (Qty:2). Unit Specifications. Pfeiffer ... moreTPH 521 P C PMP02861H Turbo Pump.
$
4999.99
Buy It Now
$218.00 Shipping
Condition: Used
Location: Milton Freewater, United States
THIS IS A WORKING PLASMA ETCH PE-50. W/0113 RF POWER SOURCE.
$
2399.95
Buy It Now
$499.00 Shipping
Condition: For parts or not working
Location: Sacramento, United States
We work hard to include a lot of pictures of each item. It is also subject to change during peak periods.
$
9999.99
Buy It Now
$350.00 Shipping
Condition: Used
Location: Milton Freewater, United States
March PX-500 Plasma System Fully Refurbished with 6 Month Warranty It can be made ready for very quick shipment. The listed price is for a base unit consisting ... moreof: RF Generator- 600 watts- Typically an RFX 600 as seen in separate photo. Note. A 300 watt power supply is shown in the photo with the PX-500, but it will be replaced with a 600 watt unit. One set of Power and Ground Shelves. All standard system features. 2 Flowmeter Gas Controllers Options available include: Additional Shelf Sets Vacuum pumps for Oxygen service. Or Vacuum Pumps with Hydrocarbon fluid for non- Oxygen Plasma processes. Please note: Other plasma systems are available. Including larger March PX-1000 and smaller PX-250 systems, as well as Plasma Systems from other manufacturers. Over 50 Plasma Systems in inventory. For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for over 35 years. You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our 50,000 square foot facility is located in the metropolitan NYC area. Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount.
$
1003.12
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Lam Research 4420 Rainbow Plasma Etcher System. This Lam Research 852-011061-103 is used working surplus. The physical condition is good, ... morebut there are signs of previous use and handling.
$
395.00
Buy It Now
Free Shipping
Condition: Used
Location: Rochester, United States
Tegal 99-165-003.
$
8754.97
Buy It Now
$244.78 Shipping
Condition: For parts or not working
Location: Canada
$
32000.00
Buy It Now
Condition: Used
Location: Switzerland
STS / CPX Multiplex is a high-precision etcher-asher designed for the production of high quality microelectronic systems. CPX Multiplex has a 4-axis robotic ... morearm that can position the substrate at any angle, and the laser beam can be directed with precision.
$
24999.00
Buy It Now
Condition: Used
Location: Singapore
Model: Plasmalab 80 Plus/ 80+ ICP65. Type: ICP Inductively Coupled Plasma Etch Tool. Comdel CX-600S Ultra Stable 13.56MHz RF Generator.
$
37750.00
Buy It Now
Condition: Seller refurbished
Location: Palisades Park, United States
March PX-1000 Plasma System Fully Refurbished with 6 Month Warranty Quick Shipment Possible. Also available with 1000 Watt RF Generator A Video of this ... moresystem in operation is available on request. The listed price is for a base unit consisting of: RFX600 RF Generator- 600 watts One set of Power and Ground Shelves. All standard system features. 2 MFC Controllers Options available include: 1000 Watt ACG10B RF Generator Additional Shelf Sets Additional MFC Controllers Vacuum pumps for Oxygen service. Or Hydrocarbon pumps for non- Oxygen Plasma processes. Vertical door system Please note: Other plasma systems are available. Including smaller March PX-500 and PX-250 systems, as well as Plasma Systems from other manufacturers. Over 50 Plasma Systems in inventory. For your reference. The seller is N&R Scientific Co. Inc. We have been providing the highest quality refurbished and fully guaranteed equipment worldwide for many years You can purchase with complete confidence that the equipment will be provided in excellent cosmetic and perfect operational condition. Our facility is located in the metropolitan NYC area. Customers are welcome to visit for acceptance testing/inspection. Feel free to contact us for additional information through ebay mail. Or directly at: nrscientific@gmail.com or at(201) 592-1864. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Kindly contact us regarding suitable payment arrangements. We must also insure our shipments to guarantee safe delivery; hence, additional charges may be added to the purchase amount.
$
55000.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
Vacuum load lock with wafer transfer robot. Can process wafers from 2” to 8” depending on which process kit is installed. High frequency RF-based inductively ... morecoupled plasma source capable of high density plasma generation.
$
2100.00
Buy It Now
$400.00 Shipping
Condition: For parts or not working
Location: Canada
Up for sale is a used Plasmionique FLR 300-TT Plasma Coater as can be seen in the pictures. This has been tested for power on ONLY, therefore, it's sold ... moreas-is, as-described. Nothing else is included.No vacuum pump is included.
$
120.00
Buy It Now
$119.22 Shipping
Condition: New
Location: Saint-Égrève, France
Applied Materials 0200-09199 Tube. Plasma Quartz( New; lot of 5) We ship domestically and International. This items is available for local pick up or ... morebuyer pays actual shipping costs using the buyers UPS or Fed Ex account number only. 1) We prefer PayPal to all new customers. 2) We prefer a company check or cashier check to established customer's. 3) International orders over $500.00 we require a wire transfer. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf54%3E-146a9d5b046-0x10e-
$
3800.00
Buy It Now
$80.00 Shipping
Condition: New
Location: Carson City, United States
The Plasma Wand is a handheld device that requires no external gas hookup; just plug it in and start plasma cleaning! Plasma Wands are ideal for cleaning ... moreand plasma surface modification of large objects before bonding or printing.
$
2499.00
Buy It Now
$1880.00 Shipping
Condition: Used
Location: Israel
2008 pva tepla TECHNICS 100 plasma system Asher System Etcher Cleaner + Pump. Downstream microwave frequency O2 plasma asher for photoresist descum. 2.45 ... moreGHz regulated magnetron, 0-300W power. Max Short-Current Circuit: 16 A.
$
8250.00
Buy It Now
$700.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Click here to see description.
$
850.00
Buy It Now
Free Shipping
Condition: Used
Location: Temple, United States
$
9999.99
Buy It Now
$350.00 Shipping
Condition: Used
Location: Milton Freewater, United States
$
139.00
Buy It Now
$87.39 Shipping
Condition: For parts or not working
Location: Gainesville, United States
(Set of 3): Silicon Wafer Semiconductor CVD Processing Turret Stainless Steel Rotisserie Apparatus, Machine, Processor. Three units, sold as one set. ... moreTwo appear to have CVD deposition heads (maybe that's what they are).
$
1800.00
Buy It Now
$6.68 Shipping
Condition: Used
Location: Buda, United States
Your Source for Spares & Repairs. Brooks/Equipe/PRI (For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave 2600, 3290,5240 and others. (See Hours ... moreof Operation, above). Gasonics L3510 Gasonics PEP-3510. ).
$
781.00
Buy It Now
$600.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Click here to see description.
$
562.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: 200mm Wafer Cassette Loader. The physical condition is good, with light signs of use. These products are subject to export restrictions under ... moreU.S. law. Set of 2 (Left & Right).
$
1758.49
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi M-511E is used working surplus. The physical condition is good, but there are scratches from previous use and handling. Removed from a M-511E ... moreMicrowave Plasma Etching System. Removed from a M-511E Microwave Plasma Etching System.
$
201.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi Etcher Recorder Printer Assembly is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... moreRemoved from a Hitachi M-511E Microwave Plasma Etching System.
$
1200.00
Buy It Now
$70.35 Shipping
Condition: Used
Location: Morgan Hill, United States
We provide fully refurbished Matrix 303 for Plasma Etcher. We also provide refurbished Matrix 105 Plasma Asher Descum Systems. Installation and training ... moreand warranty are optional at extra charge. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
$
4000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
$
13250.00
Buy It Now
Condition: Used
Location: Scotts Valley, United States
$
7500.00
Buy It Now
Condition: Used
Location: Phoenix, United States
Automated Plasma, Inc. Self Contained Plasma Etching System PC Boards. Stokes Pennwalt 3Q6-41 Vacuum system with blower. Pyramid Cabinet, Front Loading, ... moreSix (6) Panel.
$
10000.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
The chamber is apparently still under vacuum, because I could not get the door to open. "The sale of this item may be subject to regulation by the U.S. ... moreFood and Drug Administration and state and local regulatory agencies.
$
1256.07
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi M-511E Wafer Cassette Ergo Loader is used working surplus. The physical condition is great, but there are signs of previous use and handling. ... moreRemoved from a Hitachi M-511E Microwave Plasma Etching System.
$
100.00
Buy It Now
$70.00 Shipping
Condition: For parts or not working
Location: Gilroy, United States
Sold as-is, for spare parts. 95020 (408)886-3700. "The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state ... moreand local regulatory agencies.
$
500.00
Buy It Now
Condition: For parts or not working
Location: Gilroy, United States
"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. I plugged the unit ... morein, and the power light flashed when the circuit breaker was flipped, but I could not get it to do anything else.
$
2300.00
Buy It Now
$28.51 Shipping
Condition: Used
Location: Austin, United States
These products are subject to export restrictions under U.S. law. Item Condition: Used, as-is.
$
23500.00
Buy It Now
Condition: Used
Location: Palisades Park, United States
Plasma 901e Tegal Etcher With Manual. SOLD AS SEEN IN PICTURES! Not tested. Cassette to Cassette Poly/Nitride Plasma Etcher*Easy to use menu driven control*Input ... moregases controlled by MFC. Up to 4 MFC with system*Non-friction spatula wafer transport- Power requirement: 208 VAC 25A 1 PH- S/N: CU50134- Item# CU9000-50134-Volt: 200/208 VAC- Curr. 30 Amps- Freq. 50/60 Hz- Wires: 2+ ground- Wfg: 11/1999- m eter reads: 5081-RCTN Chamber item No. CC1106-01302 NOTE: We do not know the wafer size of the unit. If you know a way to tell. Please let us know. Specifics Below are off the internet: This is only to help. We do not know if this is exactly what we have. Please ask questions. Wafer Size Capacity: 3.4,5,6 inch Cassette To Cassette In-line Single Wafer Plasma Etcher.Capability to etch vias and contacts with anisotropic or sloped profiles.For etching silicon dioxide. Silicon nitrides, and polyimides.Can handle wafers from up to 6 in. Microprocessor control.208 V, 50/60 Hz. Tegal 901e series Plasma/RIE etch System are used by the Semiconductor Industry for integrated circuit fabrication. The system are used in one part of the sequence of manufacturing steps that transfer a pattern formed from a layer of photosensitive material, the photoresist, to a layer that makes up a permanent part of the final device. The process of defining a pattern with photoresist known as photolithography, while the etch process transfers the photoresist pattern to the permanent layer.Tegal 900 Series systems deliver highly reliable, repeatable results in etching a variety of films used to manufacture semiconductor, telecommunications and optoelectronics devices, flat panel displays and thin film magnetic heads.Tegal 901e,are designed around a production-proven wafer transport design that can accommodate 75 mm to 150 mm round silicon, GaAs, InP, and dielectric material substrates. The transport can also be configured to accommodate rectangular substrates up to 125 mm on a side. Typical Applications for Tegal 901e:1. Nitr
$
4700.00
Buy It Now
$200.00 Shipping
Condition: Used
Location: State College, United States
It will remove the contaminations and promote adhesion with other materials (before sputtering electrode or lamination).
$
20.79
Buy It Now
$4.47 Shipping
Condition: New – Open box
Location: Ventura, United States
Tegal 40-297-002 903e Pin for Plasma Etcher Description Tegal 40-297-002 903e Pin Manufacturer Tegal Manufacturer Part Number 40-297-002 Item/Ship Weight ... more2 LBS Tech Condition This item is new. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300. Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping We will professionally package. Using instapak foam-in-place if needed, and insure this product for safe delivery. Domestic shipping rates are available using the shipping calculator below, subject to terms& conditions. Please contact us for international shipping rates. Local pickup is encouraged for which all shipping and handling fees will be waived PID 27387 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package is too large to qualify. As such. We may need to contact you before shipping to make an adjustment to a different method which may change the price for shipping as well. This bug has been reported, but they gave no timeline for a fix, so if you are purchasing an item on the larger side(boxed size approx. 20x20x10 or more) and plan on choosing a USPS shipping meth
$
214.28
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: 109410028. The physical condition is good and clean with minor scratches from handling. This item was removed from a M-511E Microwave Plasma ... moreEtching Machine. Model No: 941 Cold Cathode. These products are subject to export restrictions under U.S. law.
$
160.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi MBN13-2 is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from a Hitachi ... moreM-511E Microwave Plasma Etching System. Removed from a Hitachi M-511E Microwave Plasma Etching System.
$
210.83
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. These products are subject to export restrictions under U.S. law. Serial ... morenumbers or country of manufacture may vary.
$
2832.50
Buy It Now
$600.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Tegal Corp 803 Inline Automatic Wafer RF Plasma Etcher Untested As Is Description Tegal Corporation 803 Inline Inline Automatic Wafter RF Plasma Etcher ... moreUntested As Is Many Useful Parts Cover removed in photos to show component views. This tool is heavy. Nearly 400 pounds, and will require freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Corp Manufacturer Part Number 803 Item/Ship Weight 350 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42622 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still sho
$
2299.00
Buy It Now
Free Shipping
Condition: Used
Location: Singapore
Model: Apex 1513. LAM Part No.: 660-032596-014. Make: Advanced Energy (AE). AE Part No.: 3156110-114. Higher power density. This unit is used, untested ... moreand in good physical condition (with few minor scratches/scuffs from handling).
$
1200.00
Buy It Now
$70.35 Shipping
Condition: Used
Location: Morgan Hill, United States
Matrix 303 Plasma Etcher Top ChamberUsed, not tested. We sell it at as is, where is . No warranty.no return.Subject to prior sale.ID: AWS-001Contact us ... moreby email if you have any questions.
$
410.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi A008-2 is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from a Hitachi ... moreM-511E Microwave Plasma Etching System. Removed from a Hitachi M-511E Microwave Plasma Etching System.
$
48980.00
Buy It Now
Condition: Seller refurbished
Location: North Billerica, United States
Reactive Ion Etcher with manual load Load lock. 6 MFCs-BCl3. Cl2, CH4, H2, Ar, O2) Pfeiffer Turbo TPH330PC and turbo controller on the Main chamber. Pfeiffer ... moreTurbo TMH 260C on the Load Lock. Advanced Energy RFX600 RF 600 Watt power supply. System sold as is.Where is.
$
211.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
These Lam Research 839-011516-001 Upper Chamber Assembly Parts are used, working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. They were removed from a LAM Research Rainbow 4420 Etcher.
$
795.00
Buy It Now
Free Shipping
Condition: Used
Location: Morgan Hill, United States
80-095-278RW PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-3-001Condition: Used. New? Not tested.We sell it at AS IS,WHERE IS without warranty.This ... moreItem is subject to prior sale without notice.
$
1500.00
Buy It Now
Condition: For parts or not working
Location: Scotts Valley, United States
Tegal Corp 803 Inline Automatic Wafer RF Plasma Etcher Untested As Is Description Tegal Corporation 803 Inline Inline Automatic Wafter RF Plasma Etcher ... moreUntested As Is Many Useful Parts Cover removed in photos to show component views. This tool is heavy. Nearly 400 pounds, and will require freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Corp Manufacturer Part Number 803 Item/Ship Weight 350 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42622 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still sho
$
1200.68
Buy It Now
Condition: Used
Location: Buda, United States
SN: 1220218,TW63024. Five-one-two-nine-two-eight-five-five-five-three Corporate Office. Two-zero-eight-nine-eight-two-one-eight-six-two Direct Line. Nicole ... moreJackson at getsparesllc. (See Hours of Operation, above).
1 2 3 4 5 6 7 8 9 10
 In 

Former Listings  
 
Luxtron Xinix 1015 Endpoint Controller Plasma Etch Detection Monitor / Warranty
Payment Policy Brand New Sony CyberShot 8 MP Di Luxtron Xinix 1015 Endpoint Controller Plasma Etch Detection Monitor/ Warranty Attention All Buyers If there is anything wrong with the item that you have purchased. Please do not leave negative feedback and do not open any disputes with eBay or PayPal. Just contact us directly and we will fix the problem quickly. Item Description Luxtron Xinix 1015 Endpoint Controller Plasma Etch Detection Monitor/ Warranty Used Condition Luxtron Xinix 1015 Series Endpoint Controller Model: TER-B-LUX1015B Part No. 01-1015-00 Rom Bios American Megatrends TOPCAT-386SX-20MHZ Rev-1.01 Luxtron Xinix Plasma Etch Endpoint Detection/ Monitoring Controller. Digital Display System, Loaded With boards Rack Mountable With Rack brackets Item Condition Used Condition Actual Picture of the item is provided. What you see in ... morethe picture(s) is what you get Note: In Quantity Auctions. The serial number of the item that you receive may vary from the item shown above. Payment Policy 1) PayPal: We accept PayPal from all verified accounts. Note: For large payments or payments from some foreign countries we may require other electronic means of payment. 2) Credit Cards: We accept credit card payments through PayPal only. 3) Checkout: All US buyers should follow eBay checkout process to receive the proper invoice. International buyers should contact us to for an update on the shipping costs before they can follow eBay checkout. 4) Sales Tax: Californian buyers pay a Sales Tax of 8.625% unless a valid Resale Certificate or Tax exemption Certificate number is remitted by email or fax prior to submitting payment. 5) Checkout: An automated Non-Paying Bidder Alert will be filed if payment is not received in 4 days from the end of the auction. 6) Questions: For any questions. Please contact us directly from the auction page click on our ID(supertechshop) and then click on(Contact Seller) link. Shipping& Handling Policy 1) Handling Time: We ship packages in 1 business day after receiving payment. Howe
MULTIPLEX STS RIE Reactive Ion Etch Plasma Etch SURFACE TECHNOLOGIES SYSTEMS
You are viewing a System Surface Technology Systems"RIE" Reactive Ion Etch Plasma Etch System. Comes with. Balzers/ Pfeiffer TPH Pump, Balzers/ Pfeiffer TCP 380 Pump Controller, ENI ACG-10B RF Generator, ENI ACG-3 RF Generator, LYTRON RC Recirculating Chiller, Inficon IG3 Vacuum Gauge, Circuit Breaker/ Isolation Box, RackMount PC with STS software installed, System was recently removed from service due to company downsizing. System was removed in working condition. But we in no way can warranty this. Please Email us with any questions. We will have everything professionally crated for shipment and we will provide freight to anywhere in the continental US free of charge. Posted with
ENI ACG-6B Air Cooled 600W RF Generator MKS ACG-6B-07 LAM Plasma Etch
Here is a Used and Tested, ENI ACG-6B RF Generator. This generator is an air cooled 600W@ 13.56MHz generator that can regulate in Forward or Load Power regulation. Comes as pictured. No accessories are included. Model: ACG-6B P/N: ACG-6B-07 Input: 100 to 240VAC single phase Output: 600W@ 13.56MHz via N-type coax Tested. Guaranteed Fully Functional. International Bidders. Email for a shipping quote
ENI MODEL ACG-6B AIR 600 W RF GENERATOR MKS ACG-6B-07 LAM PLASMA ETCH
ENI MODEL ACG-6B 600W RF GENERATOR MKS ACG-6B-07 LAM PLASMA ETCH* Input: 100 to 240 VAC. Single Phase* Output: 600W@ 13.56MHz via N-Type Coax NOTE: I am not familiar with this item and am unable to test* Sold as is nvd
Oxford 80 Plus PE/RIE PE/RIE Compact Plasma Etch & Reactive Ion Etch RIE System
Oxford 80 Plus PE/RIE PE/RIE Compact Plasma Etch& Reactive Ion Etch RIE System Inventory# 58509*Before purchasing this system. Please note that the refurbishment process does not begin until the equipment has been purchased. Please contact us for lead-time. PE/RIE Compact Plasma Etch and Reactive Ion Etch RIE System. Configured for optimal gas conductance at the wafer maximizing etch rate and uniformity. Process control via PC controller. System can be used for plasma etch or reactive ion etch. ENI ACG10B 1000W 13.56 MHz RF generator. Pfeiffer turbo pump. Includes roughing pump. Six MFC gas inputs on gas box. Previous gases used: O2. Ar, C2F6, CF4, SF6, CHF3. 208V. 3 Ph, 60 Hz. Can be sold in untested as-is condition. Or fully checked out and refurbished by one of our technicians, with our standard right to return and 3 month parts warranty. ... morePlease contact us directly for as-is pricing. Please contact us for additional information on this system. Including lead-time, or for any questions via eBay mail or at phone# 732) 863-9500. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Please contact us to discuss suitable payment arrangements. BID SERVICE has been meeting the equipment needs of the Semiconductor and Scientific communities for the past 30 years. Our expert refurbishing services. Demonstration capabilities, and broad selection of inventory add up to value for our customers. 2p5ddv.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B26rk%3E2p5ddv-14152466bb8-0xf9-
TEL Tokyo Electron Unity 2 Plasma Etch System Complete Working
This Tokyo Electron Unity 2 plasma etch system is complete. Used working surplus. The physical condition is good, although there are scratches and scuffs from previous use and handling. The system includes the mainframe, main control module, power distribution box, chiller, and cables and pump rack. The pumps for this system are NOT INCLUDED. Part No: Unity Ver. II 85DD Mainframe Main Control Module Power Distribution Box SMC Chiller Cables and Chiller Lines Pump Rack(Pumps not included) Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. ... moreOr mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 7 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all pu
Advanced Energy 3155031-011B AZX90 RF Match Network AE AMAT 8330 Plasma Etch
Here is an Advanced Energy AZX90 RF Matching Network. Air Cooled, for Applied Materials 8330 Etch Chambers. This is the CE marked 3155031-011B version. Comes with mounting plate shown. Tested. Guaranteed Fully Functional International bidders. Email for a shipping quote.
TEL TOKYO ELECTRON TE 5480 Nitride Plasma Reactive Ion Etch
2181 Up for sale is this Tel Tokyo TE 5480 Plasma etcher. In excellent condition. This will be sold as-is. Where-is. Currently located in our Germany warehouse. Please ask if you have questions. No reasonable offers refused! Thanks for looking and happy bidding! Manufacturer: TEL TOKYO ELECTRON Model: TE 5480 Type: Nitride Plasma Reactive Ion Etch Version: 150 mm Condition: excellent Vintage: Dec 1992 Quantity: 1 Comments: Serial number 4K2279 Deinstalled and barrier bagged. Warehoused. Located at the warehouse of SDI in Germany Complete and operational when deinstalled. Tokyo Electron TE-5480 Nitride etcher Deinstalled: 25-Nov-1998 Vintage: 21-dec-1992 Components included: 1.Mainframe. Configured for dual loading of 6 inch cassettes. Singel nitride fitted process chamber MFC Configuration: STEC 4400MC N2 200 sccm STEC 4400MC O2 100 sccm ... moreSTEC 4400MC SF6 200 sccm STEC 4400MC He 1 slm STEC 4400MC CF4 200 sccm STEC 4400MC CHF3 200 sccm TYLAN PV104C He 20 slm Daihen Dauma 10SA with Daihen UIM-1-T1 display unit TYLAN PC73 HeLIUM BACK PRESSURE MONITOR 2.Electronics rack 3.Turbo pump. Seiko Seki MG-STPH600C-T52A 4.Pump controller rack with Seiko Seki MG-STPH600C-T54 turbo pump controller, 208V 3 Phase 12 KVA 190kg total power consumption. 5.Loadlock pump Edwards Drystar CDP40 Model A52742934 s/n 6131 V=200 3 phase 50/60 Hz 3.5 KVA 6.Chamber backing pump Edwards CDP80 with Edwards gate valve model GVI 100M 7.Power supply Transformer, I/P 208VAC 3 PH O/P 200VAC 5A dimensions 31cm x 41cm x 42 cm(Height) 8. Chiller SMC Model INR-341-61A Triple Chiller Voltage= 200 3 phase 50/60 Hz 25A 350 kg dimensions 72 cm x 92 cm x 175 cm(height) RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf54%3E-146c01a6a3e-0x10e-
Refurbished Gasonics AE 2001 Plasma Etch
We provide fully refurbished Gasonics AE 2001 for Plasma Etcher We also provide refurbished Gasonics Aura 1000.Gasonics Aura 3000,Gasonics Aura 2000LL,Gasonics Aura 3010,Gasonics L3510 Plasma Etcher Systems. Installation and training and warranty are optional at extra charge. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal ... more901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destinati
STS Inductively Coupled Plasma High Density Plasma Etch System
STS Inductively Coupled Plasma High Density Plasma Etch System. Do not have chiller and pumps. We sell it AS IS. The main machine 38"x 72"x66" about 2000 LB. Gas cabinet 32" X 13" X 56" plus AC box and control box. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" STS Multiplex Inductively-Coupled Plasma(ICP) Advanced Silicon Etch(ASE) System which meets OEM SPEC. Ø S/N:05743 Ø Configuration: ASE system. 6 inch Ø E-chuck Ø Loadlock Capability:3.4,5,6,8 inch Ø LEYBOLD 1000 turbo pumps Ø Remote Gas control Gas Box with 5 gas line configuration-SF6-C4F8-C4F8-O2-Ar Ø Dry pumps models: QDP80 for process chamber Ø Edwards 40 Vacuum Pump for loadlock Ø Helium Back side cooling Ø Generators: ENI ACG3 for Bias; ACG10 for Coils; VL400 Phase Shift Controller Ø ... moreSTS AC Box Ø STS Purge Panel Assembly Ø Chiller: Neslab CFT-75 We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Not
Tegal 803 Plasma etch machine
Tegal 803 Plasma etch machine. We sell it AS IS. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 ... more| STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*a4%601gc%3E-146ef106f1d-0x109-
TEL Tokyo Electron Limited TEL 7500M Plasma Etch System
TEL Tokyo Electron Limited TEL 7500M Plasma Etch System. We sell it AS IS. 60" X 50" X 70" about 4000 LB. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch ... more590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
Seren R301 MKII 300W RF Generator PN 9600730025 Plasma Etch
Here is a Used and Tested. Seren R301 MK2 R F Generator. This air cooled 300W generator can regulate in Forward or Load Power Regulation. Along with DC Bias and P ulsing controls. Note: T he plastic display cover touches the glass display and appears as seen in the last photo when viewed from different angles. But is not seen at normal viewing angles. As seen in the rest of the pictures. Software Rev: 11.3M03 Model: R301MKII P/N: 9600730025 Input: 90-140VAC or 190-264VAC single phase Output: 300W@ 13.56MHz via N Type coax Tested. Guaranteed Fully Functional International Buyers. Email for a Shipping Quote like ae eni comdel
Plasma Therm Plasmatherm Unaxis VLR Etcher Versalock 700 Dry Etch
This is a good used spare tool. Buyer will be responsible for freight charges. Can be view at my warehouse by appointment. I have this tool listed elsewhere and reserve the right to end the auction early. Make an offer if you like. If you'd like to come have a look. Give us a call at the following. We are in the 95002 zip code 408.946.2315 Steve 1.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28b0g%60%60%3E1-1474bc59571-0x10f-
Trion Technology Phantom II ICP Inductively Coupled Plasma Etch System
Trion Technology Phantom II ICP Inductively Coupled Plasma Etch System Inventory# 57573*Before purchasing this system. Please note that the refurbishment process does not begin until the equipment has been purchased. Please contact us for lead-time. ICP Inductively Coupled Plasma Etch System. For applications requiring a downstream. High density plasma source. Allows for higher plasma densities at lower pressures. Tight anisotropy in high aspect ratio structures and reduces microloading effect. Four MFC gas inputs mounted in seperate cabinet. System has turbo pump and roughing pump. Two RFX-600 13.56 MHz RF generators. 200mm substrate chuck. Can be sold in untested as-is condition. Or fully checked out and refurbished by one of our technicians, with our standard right to return and 3 month parts warranty. Please contact us directly for as-is ... morepricing. Please contact us for additional information on this system. Including lead-time, or for any questions via eBay mail or at phone# 732) 863-9500. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Please contact us to discuss suitable payment arrangements. BID SERVICE has been meeting the equipment needs of the Semiconductor and Scientific communities for the past 30 years. Our expert refurbishing services. Demonstration capabilities, and broad selection of inventory add up to value for our customers.
Tegal903e Plasma Etch used semiconductor process equipment
Wafer Size: 6 inch configuration. Valid Time: Subject to prior sale without notice Lead Time: Ready to go Location: Silicon Valley. CA, U.S.A. Warranty and refund: N/A Tegal 903e plasma etch description for reference The Tegal 903e plasma etch semiconductor equipment were made by Tegal Corporation and represents the Industry Standard in single-wafer Dry Etch of Polysilicon. Nitride, Silicon Oxide and the mainstay of the highly successful plasma etch system. The Tegal 903e plasma etch semiconductor equipment are used by the semiconductor industry for integrated circuit fabrication. The Tegal 903e plasma etcher plasma etch semiconductor equipment are used in one part of the sequence of manufacturing steps that transfer a pattern formed from a layer of photosensitive material. The photoresist, to a layer that makes up a permanent part of the ... morefinished device. Wafers in the Tegal 903e plasma etch semiconductor equipment are transported to a Reaction Chamber. A gas mixture is introduced into the Reaction Chamber. And the gas mixture is caused to become reactive by the application of radio frequency(RF) electromagnetic radiation. The reactive mixture, or plasma, etches away material that is not covered by the masking photoresist. The etch process is terminated at an appropriate time, the wafer is unloaded from the Reaction Chamber, and a new wafer is introduced. The cycle repeats. The Tegal 903e plasma etch semiconductor equipment Plasma/RlE etchers have been configured to take advantage of the characteristics of plasmas for etching various films. Each of the models in the 90Xe family have been optimized for specific etches of specific films. All models have the common ability to implement multi-step etch recipes using multiple process gases. An optical monitoring system provides a means for determining etch completion so that the etch process may be terminated. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ Sy