Advanced Energy Pinnacle Dc Magnetron Wedge Bonder 13 56Mhz Rf Generator Dc Magnetron Power Supply Rf Plasma Mks Eni Rfpp Rf Rf Plasma Generator Plasma Generator Wedge Wire Bonder Advanced Energy Mdx Rf Match Amat Astex 13 56 Mhz 13.56Mhz Generator Amat Rf Generator Amat Comdel Power Supply Amat Type Vcr 13 56 Mhz Rf Slit Valve Wafer Prober 13 56Mhz Tel Unity Power Supply Ae Vacuum Gate Valve Mks Astex Gasonics Source Amat Wire Bonder Rf Power Generator Die Bonder 1 Torr Rf Generator Power Supply Temescal Digital Dynamics Uhv Mks Residual Gas Analyzer Kla Tencor Amat P5000 Karl Suss Uhv Vacuum Electroglas Amat Endura Wafer Transfer Ae Apex Board Amat Inficon Transpector Plasma Etcher 300Mm Wafer Asa Vacuum Leybold Inficon Mask Aligner Amat Mks 100 Torr Assembly Amat Vat Gate Sputtering Nikon Nsr Torr Pressure Transducer Anelva Seiko Seiki Vcr Female Pendulum Valve Unity Ii Baratron Pressure Transducer Ball Bonder Refurbished Mfc- 10 Torr Mks Baratron Capacitance Dc Plasma Transfer Robot Ultratech Stepper Rf Power Supply Ion Gauge Amat Quantum Daihen Turbo Pump Controller Mks Baratron Pressure Transducer Novellus Concept Cti Cryogenics Brooks Automation Micro Ion Power Supply Readout Precision Sensors Wafer Probe Mfc Brooks Solid State Power Generator Remote Plasma Source Pcb Board Card Bonder Refurbished Tel Unity Ii Amat Centura 2 Mks Pri Automation 200Mm Wafer Mks Hps Transfer Vacuum Valve Nikon Nsr-S307e Capacitance Manometer Genesis Rf Generator Ionizing Air 200 Sccm Baratron Capacitance Manometer Throttle Valve Right Angle Vacuum Valve Boc Edwards Probe Station Kla Ait Granville Phillips 500 Sccm Dc Plasma Generator Vacuum Deposition Pirani Gauge Temptronic Plasma Asher Bonder Model Thin Film Varian Turbo-V Vacuum Gauge Controller Vacuum Gate Valve Rebuilt 1000 Torr Turbo Molecular Pump Wafer Transfer Robot Advanced Energy Apex Riken Keiki Asml 4022 6 Asa Wafer Handler Boc Edwards Vacuum Rf Match Electron Beam Sccm N2 Kulicke Soffa Asm Epsilon 3200 Ae Rf Tel Unity 2 Prober Probe Etcher Hitek Power Pfeiffer Balzers Probe Manipulator Mks Astron Mks Instruments Type Vcr Female Wafer Handling 13 56 Mhz Power Match Network Adixen Alcatel Advanced Energy Rf Newport Optical Fixture Tel Tokyo Electron Sputter Convectron Gauge Mks Baratron Cold Cathode Gauge Ion Beam Throttle Valve Controller Celerity Mfc Ufc-1661 Cold Cathode Wafer Handling Robot Sputtering Power Supply Ultrasonic Generator Mks Type Genmark Vacuum Pendulum Valve Ion Source Wafer Prealigner Single Board Computer Assembly Rev 8 Wafer Ae Advanced Energy Hitachi S-9300 Plasma Etch 3013 Rf Valve Controller Vat Valve Active Gauge Wafer Loader Electron Gun Esi Cka Thermo Chiller Angle Valve Film Thickness Gauge Controller Asyst Technologies Nikon Nsr-S205c Signal Conditioner Tokyo Electron Pirani Vacuum Rf Match Network Cryo Pump Base Probe Manipulator Balzers Ion Pump Hitachi Mu-712E Glassman High Voltage Mdx Pinnacle
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
25.00
Buy It Now
$6.00 Shipping
Condition: Used
Location: Great Falls, United States
8"200mm SILICON WAFER WITH MEMORY PATTERN SUPERB REFLECTIVE EYE CANDY FLASH +++ THE PHOTOS SAY IT ALL… Each Buy It Now winner will get 1(one) beautiful ... more8" or 200mm etched silicon wafer. I believe that the devices are memory dies but cannot be sure as my microscope if not good enough to get the full detail. To my amateur eye it looks like it could be FLASH memory- almost certainly it is memory of some sort. These are manufacturing pulled wafers- 100% perfect wafers would cost in excess of $500(US Dollars) and in any case would not be for sale on eBay as the manufacturer always destroys any surplus wafers. There will be some imperfections and micro scratches and this is just part and parcel of buying used wafers- you gotta live with it! The gallery photo shows how this wafer looks under halogen lighting- stunning eh? The second and third photos are of the wafer under a simple neon tube desk lamp. Note the lovely blue oxide finish on the reverse. The other photos are of the wafer die pattern under my cheapo USB microscope. Wafer will be well packed with plenty of bubble and space to breathe- posting is by Registered Airmail with a tracking number that can be used to trace the item. gsrx_vers_476(GS 6.4.4(476)
$
58.00
Buy It Now
$8.98 Shipping
Condition: Used
Location: Ephrata, United States
Lot of 6 Silicon 200mm 8" Wafers with Different Lithography Designs. (Lot 0408). 6 wafers have various cuts and slices as shown in the photos. Very colorful ... morewith differing degrees of coloration and die / lithography designs.
$
98.91
Buy It Now
Free Shipping
Condition: Used
Location: San Ramon, United States
I have for sale Entegris UltraPak H9200 200mm 25-Slot Wafer Carrier Cassette. Also included are 7X wafers (already etched).
$
44.95
Buy It Now
Free Shipping
Condition: New
Location: Phoenix, United States
Semiconductor devices are manufactured using the most automated and technologically advanced processes in the world. Predictable location for precise ... morewafer access. Details (from the manufacturer). If you're not happy with our product or service, neither are we!
$
49.95
Buy It Now
$9.73 Shipping
Condition: Used
Location: Fremont, United States
8"200mm SILICON WAFER WITH MEMORY PATTERN SUPERB REFLECTIVE EYE CANDY FLASH +++ THE PHOTOS SAY IT ALL… Each Buy It Now winner will get 1(one) beautiful ... more8" or 200mm etched silicon wafer. I believe that the devices are memory dies but cannot be sure as my microscope if not good enough to get the full detail. To my amateur eye it looks like it could be FLASH memory- almost certainly it is memory of some sort. These are manufacturing pulled wafers- 100% perfect wafers would cost in excess of $500(US Dollars) and in any case would not be for sale on eBay as the manufacturer always destroys any surplus wafers. There will be some imperfections and micro scratches and this is just part and parcel of buying used wafers- you gotta live with it! The gallery photo shows how this wafer looks under halogen lighting- stunning eh? The second and third photos are of the wafer under a simple neon tube desk lamp. Note the lovely blue oxide finish on the reverse. The other photos are of the wafer die pattern under my cheapo USB microscope. Wafer will be well packed with plenty of bubble and space to breathe- posting is by Registered Airmail with a tracking number that can be used to trace the item. gsrx_vers_476(GS 6.4.4(476)
$
24.95
Buy It Now
$8.05 Shipping
Condition: Used
Location: Fremont, United States
8" Semi-Circle 200mm Silicon Wafer. Used wafer, clean, this is a semi-circle.
$
59.99
5d 3h 46m 6s
0 bids
$23.50 Shipping
Condition: Used
Location: Leander, United States
Manufacturer: Empak. SMIF Wafer Carrier Case Pod. There may be minor scuffs and scrapes due to previous use. As noted, this carrier was sent to a clean ... moreroom facility, cleaned and wrapped. Notes:This wafer was removed from the spares of a closed facility.
$
49.99
Buy It Now
Free Shipping
Condition: Used
Location: Turlock, United States
8"/200mm Dummy Wafer.
$
8.00
Buy It Now
$7.00 Shipping
Condition: Used
Location: Rochester, United States
$
362.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: 200mm Wafer Handler. This Nikon 200mm Wafer Handler Assembly is used working surplus. The physical condition is good, but there are signs of ... moreprevious use and handling. Motor Part No: 1.8°/STEP.
$
75.00
Buy It Now
$26.00 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
This item is designed for wafer transport processing of silicon wafers. General specifications include: High Profile, Closed Side Wall, Flanges on End ... moreWall and H-Bar End, Handle, 25-Capacity.
$
21.99
Buy It Now
Free Shipping
Condition: New – Open box
Location: Phoenix, United States
$
909.08
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary.
$
250.00
Buy It Now
$17.00 Shipping
Condition: New – Open box
Location: Temple, United States
Applied Materials 200MM Dummy Wafer.
$
649.99
Buy It Now
Free Shipping
Condition: Used
Location: Turlock, United States
200mm Dummy Wafer WA0020. Wafer 18 Pieces. It is being sold as is.
$
699.99
Buy It Now
Free Shipping
Condition: Used
Location: Turlock, United States
200mm Dummy Wafer WA0020. Wafer 21 Pieces. It is being sold as is.
$
39.99
Buy It Now
$33.44 Shipping
Condition: Used
Location: Leander, United States
Wafer Capacity: 25. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of this product. Original manufacturer's ... morewarranty does not apply. Manufacturer: Shin-Etsu.
$
28.99
Buy It Now
Free Shipping
Condition: Used
Location: Fremont, United States
What you see what you get, there are NO extra parts, cables, glassware, or anything else not pictured. R4 2-2(OPNBRWNBOX).
$
599.99
Buy It Now
Free Shipping
Condition: New
Location: White Hall, United States
$
250.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: D129704. The physical condition is fair, but there are signs of previous use and handling.
$
562.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: 200mm Wafer Cassette Loader. The physical condition is good, with light signs of use. These products are subject to export restrictions under ... moreU.S. law. Set of 2 (Left & Right).
$
500.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: D131219. This MRC D131219 SST 200mm Filler Ring is used untested surplus and is being sold as-is. The physical condition is fair, but there are ... moresigns of previous use and handling.
$
49.00
Buy It Now
$21.00 Shipping
Condition: New
Location: Rochester, United States
$
4724.99
Buy It Now
Condition: Used
Location: Phoenix, United States
Ultron Systems UH110-8 8" (200mm) Wafer Backgrinding Semiautomatic Protective-Film Remover. Ultron Systems' Model UH110 and UH110-8 Semiautomatic Film ... moreRemovers demount film from 3" to 8" (this unit is setup for 8") wafers after the backgrinding or etching process.
$
400.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Applied Materials 0020-79378 is used untested surplus and is being sold as-is. The physical condition is fair, but there are signs of previous use ... moreand handling. Part No: 0020-79378. Serial numbers or country of manufacture may vary.
$
450.68
Buy It Now
$22.73 Shipping
Condition: Used
Location: Boise, United States
REQUIRED BY US LAW. Nicole Jackson at getsparesllc. (See Hours of Operation, above). Zhòngyào de! Rúguǒ méiyǒu zhèxiē xìnxī, tā jiāng bèi hǎiguān kùn ... morezhù. Měiguó fǎlǜ yāoqiú. 重要的!!!如果没有有效的 电子邮件和电话号码,我们无法向您发货。如果没有这些信息,它将被海关困住。请立即发送您的有效电子邮件和电话,否则我们将无法处理这批货物。美国法律要求 。.
$
350.00
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: D121428. The physical condition is fair, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary.
$
611.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Nikon 200mm Wafer Indexer Lift with Loader is used, working surplus. The physical condition is good, but there are signs of previous use and handling. ... moreRemoved from a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection System.
$
350.00
Buy It Now
$45.00 Shipping
Condition: New – Open box
Location: Killeen, United States
Thick: 712.00. Model: 22289/TW28.
$
180.00
Buy It Now
$12.52 Shipping
Condition: New – Open box
Location: Gilbert, United States
AMAT 0200-03880 200mm Wafer Pin Lift Metal Hoop P Chuck.
$
695.00
Buy It Now
$49.00 Shipping
Condition: New
Location: Tacoma, United States
NEW Currently factory sealed.
$
995.00
Buy It Now
Free Shipping
Condition: Used
Location: Killeen, United States
This was removed from a Centura Front Loader. Applied Materials Wafer Holder Assy. Can be used for 200MM or 300MM Wafers by re adjusting centering holes. ... moreCurrently set up for 200MM operation.
$
999.99
Buy It Now
Free Shipping
Condition: Used
Location: Boise, United States
Fused Quartz Furnace Vertical Wafer Boat 200mm TC-263-292-001 TOSCH 33” Tall Please see our other items for the matching Tosch Fuzed Quartz Furnace Bell ... moreJar. This item qualifies for eBay Fast N Free- 1 day handling and free domestic shipping with Fedex Ground- Home delivery to lower 48 USA. AK. HI, PR to pay actual shipping. We care enough to take multiple pictures of our items for sale and all pictures are of the actual item for sale. This item is ready to be shipped upon your purchase. Please email us if you have any questions on this item. All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 14 day not DOA satisfaction guarantee. zmw3-r7-s5_gsrx_vers_566(GS 6.7.1(566)
$
362.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection ... moreSystem. Part No: Linear Rail Wafer Transport. Serial numbers or country of manufacture may vary.
$
99.99
Buy It Now
$62.94 Shipping
Condition: Used
Location: Phoenix, United States
Specifications are from Entegris and may vary slightly due to upgrades, options, or revisions this unit may or may not have. The Storage Box's Model Number ... moreReads: Empak HA-200. The Carrier's Model Number Reads: KA200-80MH.
$
90.00
Buy It Now
$17.00 Shipping
Condition: Used
Location: Rochester, United States
8” (200mm) ring. I have over 500 available, reach out for any number you need and we’ll work out a deal.
$
249.99
Buy It Now
$54.29 Shipping
Condition: New
Location: Phoenix, United States
Entegris X6200-0114 200mm 8in. Cassette Wafer Carrier Boat New. Specifications are from manufacturer and may vary slightly due to upgrades, options, or ... morerevisions this unit may or may not have. 10-6-2020 BF.
$
44.99
Buy It Now
Free Shipping
Condition: Used
Location: Collinsville, United States
This is lightly used. Very good condition.
$
247.50
Buy It Now
$18.59 Shipping
Condition: Used
Location: Ventura, United States
Click here to see description.
$
922.52
Buy It Now
$6.01 Shipping
Condition: Used
Location: Buda, United States
Your Source for Spares & Repairs. (See Hours of Operation, above). Novellus C-1.
$
776.41
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a AMAT Applied Materials Orbot WF 720 200mm Wafer Inspection ... moreSystem. Removed from a AMAT Applied Materials Orbot WF 720 200mm Wafer Inspection System.
$
25.00
Buy It Now
$28.61 Shipping
Condition: Used
Location: Manchester, United States
PART NUMBER PH9200. BOAT HAS 25 SLOTS.
$
1012.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Nikon 200mm Wafer Inspection Transport OPTISTATION 3 SST55D3C020 is used working surplus. The physical condition is good, but there are signs of ... moreprevious use and handling. Removed from a Nikon OPTISTATION 3A 200mm Automatic Wafer Inspection System.
$
40.00
Buy It Now
$60.00 Shipping
Condition: New – Open box
Location: South Korea
$
90.00
Buy It Now
$20.00 Shipping
Condition: Used
Location: Raleigh, United States
Very lightly used film frames for dicing. Most have only been used one time, all are in very good condition. Sold in packs of 10.● Stainless Steel● Conductive● ... moreDurable● Impact Resistance● Temperature Resistance
$
1750.00
Buy It Now
Free Shipping
Condition: Used
Location: Seattle, United States
Typically the MASCOT Loadlock would be mounted to a gate valve attached to a main system chamber. After the loadlock is evacuated to the desired vacuum ... morelevel, and the gate valve opened, the substrate is transferred into the process chamber by gliding the magnet carriage along the outer tube.
$
325.00
Buy It Now
$18.50 Shipping
Condition: Seller refurbished
Location: Colorado Springs, United States
EPB-8/200-22 Wafer Carrier. Parts are washed and rinsed in RO water, dried under HEPA filtered air, and bagged in cleanroom bags.
$
1503.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi I-900SRT 200mm Wafer Transfer is used working surplus. Removed from a Hitachi I-900SRT Wafer Defect Inspection Tool. One of the plastic wafer ... morepins is broken (see photos). The physical condition is good, but there are signs of previous use and handling.
$
795.00
Buy It Now
$33.74 Shipping
Condition: New
Location: Fremont, United States
(8) cassettes of 25 wafers with thermal oxide 2000-5000A. Packaged in foil sealed bag. Wafer spec: 200mm, P/Boron, (100), Single side polished. Price ... moreis for one full cassette of 25 wafers.
$
16500.00
Buy It Now
$1200.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Click here to see description.
$
41.62
Buy It Now
Free Shipping
Condition: New
Location: Tacoma, United States
New but not individually boxed.
$
44.99
Buy It Now
$33.44 Shipping
Condition: Used
Location: Leander, United States
Model: PH9200. Manufacturer: Entegris. Compatible Wafer Size: 200mm (8"). Double Snap Cover. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor ... moreor affiliated with the manufacturer of this product.
$
49.29
Buy It Now
$89.53 Shipping
Condition: Used
Location: Leander, United States
Wafer Capacity: 25. CDN Systems LLC, DBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of this product. Original manufacturer's ... morewarranty does not apply. Manufacturer: Shin-Etsu.
$
1009.10
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Brooks Automation 8600-65BAJ is used working surplus. The physical condition is good, but there are signs of previous use and handling. Serial numbers ... moreor country of manufacture may vary.
$
19500.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
Temperature Range: -10°C to +200°C. 8” (203mm) chuck with 3/8” (1cm) Z-travel. Mitutoyo microscope with two long working distance objectives 2X and 10X. ... moreInventory No. 64800.
$
1260.29
Buy It Now
$110.34 Shipping
Condition: Used
Location: Leander, United States
(1) QDR vessel. (1) QDR bottom plate. For use with boats holding 200mm or smaller wafers. Quick rinse dumper vessel. Made of quartz. Bottom plate area: ... more38.8 cm x 27.7 cm. (3) tube connections protrude under bottom.
$
3503.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Hitachi Wafer Handling Robot M-712E Shallow Trench Etcher Used Working. This Hitachi Wafer Handling Robot is used working surplus. The physical condition ... moreis good, but there are signs of previous use and handling.
$
407.10
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Lam Research 715-130080-008 is used, working surplus. It was removed from a Lam 4420 etcher. The physical condition is good, but there are scratches ... moredue to handling. Removed from a LAM 4420 etcher.
$
1750.00
Buy It Now
Condition: Used
Location: San Jose, United States
Wafer transfer system.
$
1046.77
Buy It Now
$6.30 Shipping
Condition: New – Open box
Location: Buda, United States
(See Hours of Operation, above).
1 2 3 4 5 6 7 8 9 10
 In 

Former Listings  
 
R100522-P54 Electroglas Silicon Wafer 200mm Dia Coating 5 Pack Angstroms Alum
R100522-P54 Electroglas Silicon Wafer 200mm Dia Coating 5 Pack Angstroms Alum(Click to Enlarge) Click to Enlarge) Product ID# R100522 This package of Electroglas Silicon Wafer 200mm Dia Coating 10.000 Angstroms Aluminum/1% Silicon 5 Pack appears to be in good cosmetic condition with little signs of previous use and wear. However, I lack the knowledge or equipment to test them, so due to no testing, they are being sold as-is. Please see photos for more detail. Item comes exactly as shown in the photos above. No other accessories are included. If you do not see it in the photos. It is not included. The approx. unpacked size of the system is 10"x11"x10" Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless ... moreotherwise stated in the item description. See additional terms of the auction below Shipping. Buyer pays a fixed shipping and handling fee of $15.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) All international shipments(including Hawaii and Alaska residents) will be quoted based on winning bidders shipping address. The unpacked weight of this item is 5.00 Pounds Orders will ship 1-2 business days(excludes weekends and holidays) after receipt of confirmed/verified Paypal payments. We do not process orders nor ship on weekends or holidays. Auction Terms. Upon end of auction. The winning bidder should proceed to"CheckOut" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as advertised. As is and without warranty. Questions should be asked prior to bidding as all sales are final PAYMENT TERMS ARE PREPAID ONLY. Payments. ALL AUCTIONS ARE PREPAID ONLY. We accept Paypal payments that are US and Canada Verified with ship-to US and Canada confirmed addresses only. We accept credit card payments through confirmed/verified Paypal accounts only. California
R100521-P54 Electroglas 5 Pack Wafer 200mm 3 Layer Passivated OCR Die Size 6.5mm
R100521-P54 Electroglas 5 Pack Wafer 200mm 3 Layer Passivated OCR Die Size 6.5mm(Click to Enlarge) Click to Enlarge) Product ID# R100521 This package of Electroglas 5 Pack Wafer 200mm 3 Layer Passivated OCR Die Size 6.5mmx6.5mm appears to be in good cosmetic condition with little signs of previous use and wear. However. I lack the knowledge or equipment to test them, so due to no testing, they are being sold as-is. Please see photos for more detail. Item comes exactly as shown in the photos above. No other accessories are included. If you do not see it in the photos. It is not included. The approx. unpacked size of the system is 10"x11"x10" Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise ... morestated in the item description. See additional terms of the auction below Shipping. Buyer pays a fixed shipping and handling fee of $15.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) All international shipments(including Hawaii and Alaska residents) will be quoted based on winning bidders shipping address. The unpacked weight of this item is 5.00 Pounds Orders will ship 1-2 business days(excludes weekends and holidays) after receipt of confirmed/verified Paypal payments. We do not process orders nor ship on weekends or holidays. Auction Terms. Upon end of auction. The winning bidder should proceed to"CheckOut" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as advertised. As is and without warranty. Questions should be asked prior to bidding as all sales are final PAYMENT TERMS ARE PREPAID ONLY. Payments. ALL AUCTIONS ARE PREPAID ONLY. We accept Paypal payments that are US and Canada Verified with ship-to US and Canada confirmed addresses only. We accept credit card payments through confirmed/verified Paypal accounts only. California Sales Tax
C101557-P54 CyberOptics Wafersense ALS200A ALS Auto Leveling Sensor 200mm Wafer
C101557-P54 CyberOptics Wafersense ALS200A ALS Auto Leveling Sensor 200mm Wafer(Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Click to Enlarge) Product ID# C101557 This CyberOptics Semiconductor Wafersense ALS Auto Leveling Sensor(m/n ALS200A. P/n 990-0305-10) looks to be in good cosmetic condition, showing some signs of wear. It powers up, as shown in the photos above. However, this is the extent of my testing of it, and it is being sold as-is. It comes with a cable, a power adapter, a Wafersense Link module, a quick start guide, a certificate of calibration(dated 10/11/06) a calibration registration card, and a padded carrying case with keys, as shown. Approximate overall unpacked dimensions: 19"L x 16"W x 5"H. Please ask questions or indicate concerns prior to ... morebidding. By placing a bid. You agree to all stated terms. All auctions are sold as advertised. As is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below Shipping. Buyer pays a fixed shipping and handling fee of $46.00(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) All international shipments(including Hawaii and Alaska residents) will be quoted based on winning bidders shipping address. The unpacked weight of this item is 7.00 Pounds Orders will ship 1-2 business days(excludes weekends and holidays) after receipt of confirmed/verified Paypal payments. We do not process orders nor ship on weekends or holidays. Auction Terms. Upon end of auction. The winning bidder should proceed to"CheckOut" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as advertised. As is and without warranty. Questions should be asked prior to bidding as all sales are final PAYMENT TERMS ARE PREPAID ONLY. Payments. ALL AUCTIONS ARE PREPAID ONLY. We accept Paypal payments that are US and Canada Verified with ship-to US an
200mm-300mm KLA Tencor Wafer Chuck
200mm-300mm KLA Tencor Wafer Chuck
PRI PRE-200B 200mm Wafer Prealigner Used
PRI PRE-200B 200mm Wafer Prealigner Used Click to Enlarge Click to Enlarge Click to Enlarge Click to Enlarge Description: Used unit we removed from a large PRI machine. We will have more PRI units shortly. We believe this unit works and offer our standard 14-day inspect/test guarantee. We do not have a way to test but we removed it directly from a Pri wafer machine. Inv. Office SHIPPING/HANDLING: PLEASE NOTE THAT ALL SHIPPING FEES LISTED ARE FOR THE 48 US STATES. IF YOU LIVE IN HAWAII. ALASKA, PR or US Territory- SHIPPING WILL BE MORE. Also APO address will be an extra charge. We ship using fedex home delivery(ground) US Mail and Fedex Smartpost. Smartpost is a combination of fedex and US mail. We use Smartpost for shipments that weigh between 1-7 lbs due to the excess costs to ship. Smartpost can take up to 14 days for delivery. If you prefer ... morea faster shipping method, additional charges will apply and you must contact us immediately after purchase so we can make arrangements to meet your needs. We are surplus dealers meaning we deal with many items over a wide range of categories. We do our best to describe each item but we are not experts on any one item or category. If it is not pictured. It is not included. If you have questions. Please write us prior to bidding. Problems: If you have a problem with an item when you receive. Please write us or call us at 214-808-2451. We will work with you to resolve the issues. ABOUT US: The CEO of this company has over 17 years of experience selling on Ebay and the internet. Our products can be found on Ebay. Amazon and the web. is a subsidiary of Interstateblue. Inc. WARRANTY/ RETURNS: Should you experience a problem with your order or product. Please do the following: 1. Contact us. Do not OPEN A CASE. Contact us and we will resolve your issues. A. Email us through the ebay system. B. Call 214-808-2451. Note: We are open 9-5 Monday. Wed. and Friday) We cannot resolve your issue if you do not give us a chance to do so. 2. We only accept returns if they a. Are withi
Karl Suss PA200 200mm Semiautomatic Wafer Probe System with Heated Chuck
Karl Suss PA200 200mm Semiautomatic Wafer Probe System with Heated Chuck Inventory# 58801*Before purchasing this system. Please note that the refurbishment process does not begin until the equipment has been purchased. Please contact us for lead-time. Precision and stability for submicron probing on substrates up to 200mm dia. Fully operational with or without PC controller. Mitutoyo microscope with long working distance objectives. 200mm dia. temperature chuck with a temperature range of 0 to +130 deg C. Capable of 200mm by 200mm travel. 115V. 60 Hz. Can be sold in untested as-is condition. Or fully checked out and refurbished by one of our technicians, with our standard right to return and 3 month parts warranty. Please contact us directly for as-is pricing. Please contact us for additional information on this system. Including lead-time, ... moreor for any questions via eBay mail or at phone# 732) 863-9500. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Please contact us to discuss suitable payment arrangements. BID SERVICE has been meeting the equipment needs of the Semiconductor and Scientific communities for the past 30 years. Our expert refurbishing services. Demonstration capabilities, and broad selection of inventory add up to value for our customers.
Brooks Automation ERGOSPEED II 200mm Left Wafer Indexer As-Is
This Brooks Automation ERGOSPEED II is used surplus. he physical condition is rough with scratches. Corrosion and rust from previous use and handling. It will be sold as-is. Part No: ERGOSPEED II 200mm configured Input: 115VAC. 60Hz, 250W Made in Germany Condition: Untested. Sold As-Is Estimated Packed Shipping Dimensions: L x W x H = 32"x28"x48" 285 lbs. Requires Freight Shipping. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day ... moreSatisfaction Guarantee(See Details Below) Lister 4 RL0 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way po
Brooks Automation PRE-200 200mm Wafer Prealigner Used Working
This Brooks Automation PRE-200 is used working surplus. The physical condition is good. But there are some minor scratches from previous use and handling. Part No: PRE-200 Wafer Size: 200mm 780-08366-001 Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 14"x14"x14" 30 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 12 Can't find ... morethe answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may vary based on the packing require
PRI Automation PRE-200B 200mm Wafer Prealigner Used Working
This PRI Automation PRE-200B is used working surplus. The physical condition is good. But there are some minor scratches from previous use and handling. Part No: PRE-200B Wafer Size: 200mm Made in USA Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 14"x14"x14" 30 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 12 Can't find the ... moreanswer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may vary based on the packing requiremen
Equipe Technologies PRE-3388 200mm Wafer Prealigner Used Working
This Equipe Technologies PRE-3388 is used working surplus. The physical condition is good. But there are some minor scratches from previous use and handling. Part No: PRE-3388 Wafer Size: 200mm Made in USA Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 14"x14"x14" 30 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 12 Can't find ... morethe answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and handling times may vary based on the packing requi
AMAT Applied Materials 200mm Silicon Wafer 25 Count Boat Test Wafers with Oxide
This AMAT Applied Materials 200mm Silicon Wafer 25 Count Boat Test Wafers with Oxide is used surplus. Sold as a boat of 25. The physical condition is good. But there are signs previous use and handling. There is oxide on the surfaces. Thickness and resistivity are unknown. Part No: 200mm Wafer Wafer Size: 200mm(8" Thickness: Unknown Resistivity: Unknown 25 Wafers Per Boat Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 16"x16"x16" 12 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. ... moreFor multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 1 RL0 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TE
Tokyo TEL Unity II Heated Wafer Chuck 200mm Yaskawa V0SH with 30 day warranty
Tokyo Electron Limited TEL Unity II Heated Wafer Chuck 200mm Model: Yaskawa V0SH Photos are representative of actual item. Item comes from a clean room tool and is in good. Working condition with 30 day warranty. Buyer responsible for shipping costs both ways. I tem weighs approximately 100 lbs. Additional packaging/handling fees may apply. We offer the following delivery options. Free local pick up*Collect shipping through your choice of carrier*Contact us for a freight quote Please visit my store. We have similar items and will be happy to combine items to reduce shipping costs. I may also have multiple quantities so please write me if you need more of a particular item or have any other questions. Thanks for looking and have a nice day!
Wentworth Pegasus S200 Semi-Automatic 200mm Precision Wafer Prober
Wentworth Pegasus S200 Semi-Automatic 200mm Precision Wafer Prober Inventory# 58706*Before purchasing this system. Please note that the refurbishment process does not begin until the equipment has been purchased. Please contact us for lead-time. Prober delivers rapid production probing of wafers up to 200mm. For semiconductors. MEMs and characterization applications, and is ideal for probing LED die mounted onto blue tape/ring carriers. Intuitive graphic user interface. PC controller. Chuck: 8 in. dia. Stage Travel: 210mm x 210mm. Resolution: 1.25 micrometers. Probing Speed: 95mm per sec. max. Indexable Range: 10 micrometeters to 210 millimeters. Chuck stage fine lift. Overtravel, overtravel indexable range and search window user programmable within 10mm total travel. Resolution: 1 micrometer. Has probe card holder. 100-260V. 1 Ph, 50/60 ... moreHz, CE. Very nice shape. Purchased by a company that never went into production. Like New. Can be sold in untested as-is condition. Or fully checked out and refurbished by one of our technicians, with our standard right to return and 3 month parts warranty. Please contact us directly for as-is pricing. Please contact us for additional information on this system. Including lead-time, or for any questions via eBay mail or at phone# 732) 863-9500. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Please contact us to discuss suitable payment arrangements. BID SERVICE has been meeting the equipment needs of the Semiconductor and Scientific communities for the past 30 years. Our expert refurbishing services. Demonstration capabilities, and broad selection of inventory add up to value for our customers.
Rorze 1VRR8150-W01-005 200mm Wafer Transfer Station Used working
This Rorze 1VRR8150-W01-005 is used untested surplus. The physical condition is fair and there are scratches from previous use and handling. Unit has some bends on the side panels. Some of the plastic doors have cracked or broken edges. Some of the buttons are missing the plastic flap cover. Good used working surplus Part No: 1VRR8150-W01-005 Voltage: 1Ø. 200VAC, 50/60Hz, 7A Max Rorze Robot Part No: Type RR700L150-Z30-010 Serial No: RA01489 Robot Controller Part No: CURR-1076-2 Serial No: UA01369 Rorze Robot Track Part No: Type RT107-1201-002 Serial No: TA00646 IKO Robot Track Part No: TSL220-1200A/S20E558 Serial No: 1A001696 PCB Part No: MVME 162-213(Qty. 1) PCB Part No: DI/0(Qty. 2) Rorze Indexer Part No: RE120-002-001(Qty. 4) Power Supply Part No: EWS600P(AC Input) Made in Japan Condition: used working 90 days Estimated Packed Shipping ... moreDimensions: L x W x H = 86"x42"x96" 1800 lbs. Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 14 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangement
FLUOROWARE H93-80 single wafer shipper 200mm
Selling single wafer shippers as seen on the pictures. The items are used but in good cosmetic condition. All items will be cleaned before shipping. We are shipping from Munich. Germany. Please contact us for discussing shipping method and price. Also please have a look at our other auctions. If you need more pieces. Please contact us.
Hitachi 2-816892 200mm Wafer Plate New
Used Equipment Sales. LLC 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Office: 505.345.8732 Fax: 505.345.8730 Office Hours: Monday- Friday: 8:00 AM- 5:00 PM Mountain Standard Time(MST) Hitachi 2-816892 200mm Wafer Plate New Inventory# HIT-090 This Hitachi 200mm wafer holder is new surplus that was removed from excess manufacturer stock. It is in excellent physical condition. Specifications: Hitachi Part No: 2-816892-B Sale Details: Condition: New surplus Estimated Packed Shipping Dimensions(does not reflect multiple item orders) L x W x H = 12 in. x 12 in. x 4 in. 5 lbs. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See International Shipping Details Below) Only items pictured are included- If a part is not pictured. Or mentioned in the description, then it is not included in the sale. ... moreFor multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Return Policy below for details) I ALSO BUY SEMICONDUCTOR SURPLUS. CALL ME AT 505-228-6568 OR EMAIL ME Thank You. Paul Robledo HOURS OF OPERATION: Office Hours: Monday- Friday: 8:00 AM- 5:00 PM Mountain Standard Time(MST) Warehouse Delivery Hours: 8:30 AM- 4:00 PM Mountain Standard Time(MST) We are closed Saturday. Sunday and all major US holidays. Location visits by appointment only. SALE TERMS AND CONDITIONS: Only the pictured items are included in the listing. If it is not pictured or mentioned in the description it is not included. Place a bid only if you are serious about purchasing the item. If we(the sellers) are unable to establish contact with the winning bidder within 7 days of the end of the auction or if payment is not received within 14 days. The item(s) will be relisted at our discretion. PAYMENT INFORMATION: Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days. Payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and
MICROMANIPULATOR 6640 200mm WAFER PROBER BASE
MICROMANIPULATOR 6640 Inventory# 58351*Item sold"as-is" condition. Not refurbished. 200mm Wafer Prober Base. System sold in"As Is" condition. Parts missing. No computer or microscope. 200mm Temptonics temperature chuck. But no controller. Sold in untested as-is condition. Please contact us for additional information on this system. Including lead-time, or for any questions via eBay mail or at phone# 732) 863-9500. Please note: for purchases of this size. We are unable to accept credit cards or PayPal unless special arrangements are made. Please contact us to discuss suitable payment arrangements. BID SERVICE has been meeting the equipment needs of the Semiconductor and Scientific communities for the past 30 years. Our expert refurbishing services. Demonstration capabilities, and broad selection of inventory add up to value ... morefor our customers.
LOT 3 PERFECTION PRODUCTS FFS-3970-108 SEMICONDUCTOR 200mm SILCON WAFER CARRIER
LOT 3 PERFECTION PRODUCTS FFS-3970-108 SEMICONDUCTOR 200mm SILCON WAFER CARRIER(read important details below prior to bidding) SAVE with this Listing PLUS get FAST FREE Shipping! IMPORTANT DETAILS: template by generalpublicsales Condition: Used. Packaging Condition: N/A Model/Part Number(s) FFS-3970-108 Technical Details: N/A Terms: Quantity(1) Comes with items displayed in pictures only in condition described and shown. Returns accepted within 14 days of receiving item. Buyer must contact seller prior to returning item to receive RMA# Sealed items that have been opened may not be returned. Or may be charged a restocking fee, see return policy for details. Shipping: Free shipping applies to contiguous US shipping locations only. International buyers: you are responsible for all taxes/duties that your country’s customs charges you at the time ... moreof delivery. If you do not claim your item from customs. Or you refuse delivery and your item gets returned to me, you will not receive a refund on the shipping you paid and you will have to pay to have it reshipped to you. Box032613
Bio-Rad 48610000 X18 200mm Wafer Inspection Tool Quaestor Q8 Untested As-Is
This BioRad wafer inspection tool is used. Untested surplus it was purchased from a world class semiconductor manufacture company known for take good care of their equipment. The physical condition is good and clean, but there are some minor scratches from handling. Included are the inspection and control stations as well as a xenon lamp assembly. This tool is untested and will be sold AS-IS. Part No: 48610000 Quaestor Q8, Wafer Inspection Tool, 200mm, Serial Number: R00595, CMC PM Servo Motor MT2115-014DF, Xenon Lamp: X18 Supply 110V Freq. 50, Control Station: Q8, Supply: 110VAC 50/60HZ, Condition: Untested. AS-IS. Estimated Packed Shipping Dimensions: L x W x H = Requires Freight Shipping. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See ... moreDetails Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 5 Can't find the answer you're looking for? contact us! Business Hours: 8am to 5pm MST Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30am to 4pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505.345.8732, Fax: 505.345.8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days. Payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) A 7% Sales tax applies to NEW MEXICO residents(or provide a resale tax ID for our records. We cannot process the order until we receive sales tax or a
Rorze FABS-202 200mm Wafer Transfer Station IVRR8151-002-001 Centura II DPS Used
This Rorze FABS-202 is used untested surplus. The physical condition is good. But there are signs of previous use and handling. Removed from an AMAT Applied Materials Centura II DPS Poly. Model No: FABS-202 Type: IVRR8151-002-001 200mm Input: 1Ø. AC 200V, 50/60Hz, 7A Max Components RR700L120-Z30-0 1VRR8151-002-001 RE120-002-001(Qty. 4) RT107-1201-002 CURT-1261-0 RA205-612-000 Removed from an AMAT Applied Materials Centura II DPS Poly, Made in Japan Condition: Used Working. 90 Day Warranty, Estimated Packed Shipping Dimensions: L x W x H = 96"x44"x56" 1000 lbs. Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned ... moreabove, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number, date or country of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 11 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applie
Rorze 03RR8140-003-001 200mm Wafer Transfer Station Centura-HDP CVD Used
This Rorze 03RR8140-003-001 is used untested surplus. The physical condition is good. But there are signs of previous use and handling. Removed from an AMAT Applied Materials Centura-HDP CVD. Part No: 03RR8140-003-001 Type: 7URR8151-001-001 200mm Input: 1Ø. AC 200V, 50/60Hz Components CURE-0751-2 CURE-2422-0 RE120-201-001 RE120-001-001 RE116-202-003-1 CURT-0397-3 RR304L120-120-001 RT107-001-002 Removed from a Centura-HDP CVD Made in Japan Condition: Used Working. 90 Day Warranty, Estimated Packed Shipping Dimensions: L x W x H = 96"x44"x56" 1000 lbs. Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, ... morethen it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number, date or country of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 11 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all p
Rorze 1VRR8150-010-101 200mm Wafer Transfer Station FABS-212 Used Working
This Rorze 1VRR8150-010-101 200mm Wafer Transfer Station is used untested surplus. The physical condition is good. But there are signs of previous use and handling. Part No: 1VRR8150-010-101 Model No: FABS-212, 200mm Input: 1Ø. AC 200V, 50/60Hz, 7A Max Components RR700L120-Z30-0, RE120-002-001(Qty. 4) Made in Japan Condition: Used Working. 90 Day Warranty, Estimated Packed Shipping Dimensions: L x W x H = 96"x44"x56" 1200 lbs. Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. ... moreThe pictured serial number, date or country of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 11 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 7.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TE
AMAT Rorze FABS-202 Wafer Transfer Elevator Lift Assy. 200mm RE120-002-001
Applied Materials. Rorze FABS-202 Wafer Transfer Elevator Lift Assy. 200mm RE120-002-001 Cassette Indexer Elevator Assy. Rorze 200mm RE120-002-001 Check out my! SERRC.
Micromanipulator 200mm Manual Analytical Wafer Prober
Micromanipulator 200mm Manual Analytical Wafer Prober Inventory# 59572*Before purchasing this system. Please note that the refurbishment process does not begin until the equipment has been purchased. Please contact us for lead-time. Manual wafer probe station. Micromanipulator system modified with a 200mm Rucker& Kolls gold plated chuck and stage with 200mm x 200mm stage travel. Bausch& Lomb MicroZoom microscope with 2.25X. 8X, 25X and 50X objectives. Platen accepts vacuum or magnetic base probe manipulators. Platen lift control. Can be sold in untested as-is condition. Or fully checked out and refurbished by one of our technicians, with our standard right to return and 3 month parts warranty. Please contact us directly for as-is pricing. Please contact us for additional information on this system. Including lead-time, or for any ... morequestions via eBay mail or at phone# 732) 863-9500. BID SERVICE has been meeting the equipment needs of the Semiconductor and Scientific communities for the past 30 years. Our expert refurbishing services. Demonstration capabilities, and broad selection of inventory add up to value for our customers. International Buyers- Please Note: Import duties. Taxes, and charges are not included in the item price or shipping cost. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying. Or contact a freight forwarder who can get you actual prices.
Dymatix 880E 200mm Semiautomatic Wafer Prober
Dymatix 880E 200mm Semiautomatic Wafer Prober Inventory# 59548*Before purchasing this system. Please note that the refurbishment process does not begin until the equipment has been purchased. Please contact us for lead-time. 8 in. wafer probe station. X-Y stage accommodates up to 200mm substrates. Motorized Z. Manual theta control. Fluorescent display. Software control is menu-driven and has three modes of operation; auto. Manual and external. Also includes a diagnostic mode. Accommodates probe cards or probe manipulators. StereoZoom microscope. 110-220V. 60 Hz. Can be sold in untested as-is condition. Or fully checked out and refurbished by one of our technicians, with our standard right to return and 3 month parts warranty. Please contact us directly for as-is pricing. Please contact us for additional information on this system. Including ... morelead-time, or for any questions via eBay mail or at phone# 732) 863-9500. BID SERVICE has been meeting the equipment needs of the Semiconductor and Scientific communities for the past 30 years. Our expert refurbishing services. Demonstration capabilities, and broad selection of inventory add up to value for our customers. International Buyers- Please Note: Import duties. Taxes, and charges are not included in the item price or shipping cost. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying. Or contact a freight forwarder who can get you actual prices.
AMAT 0200-01676 Plate Finger Lift Producer SE 200mm Wafer Lift / Warranty
Payment Policy Brand New Sony CyberShot 8 MP Di AMAT 0200-01676 Plate Finger Lift Producer SE 200mm Wafer Lift/ Warranty Attention All Buyers If there is anything wrong with the item that you have purchased. Please do not leave negative feedback and do not open any disputes with eBay or PayPal. Just contact us directly and we will fix the problem quickly. Item Description AMAT 0200-01676 Plate Finger Lift Producer SE 200mm Wafer Lift/ Warranty Used Condition Appears to be NEW/ Unused Condition Applied Materials Plate Finger Lift 200mm Ring Producer SE AMAT Part No. 0200-01676 Fast/ Safe/ Free Shipping within the Contiguous U.S. Inventory Number: 5J82995-11-14 Item Condition Used Condition Actual Picture of the item is provided. What you see in the picture(s) is what you get Note: In Quantity Auctions. The serial number of the item that you ... morereceive may vary from the item shown above. Payment Policy 1) PayPal: We accept PayPal from all verified accounts. Note: For large payments or payments from some foreign countries we may require other electronic means of payment instead of PayPal. 2) Credit Cards: We accept credit card payments through PayPal only. 3) Checkout: All US buyers should follow eBay checkout process to receive the proper invoice. International buyers should contact us to for an update on the shipping costs before they can follow eBay checkout. 4) Sales Tax: Californian buyers pay a Sales Tax of 8.625% unless a valid Resale Certificate or Tax exemption Certificate number is remitted by email or fax prior to submitting payment. 5) Checkout: An automated Non-Paying Bidder Alert will be filed if payment is not received in 4 days from the end of the auction. 6) Questions: For any questions. Please contact us directly from the auction page click on our ID(supertechshop) and then click on(Contact Seller) link. Shipping& Handling Policy 1) Handling Time: We ship packages in 1 business day after receiving payment. However larger items and quantity orders take more time to pack. 2- Shipping Method: O