Flow Rate Nikon Nsr-S620d Mass Flow Controller Range Regulator Ss Pressure Regulator Ss Ap Tech Pcb Amat Mass Flow Controller Gas Mass Flow Controller Gas O2 Flow Card Amat ½ Flaretek Amat Assy Semitool Mass Flow Controller Gas N2 Flow Astex Asml 4022 Psi Max Inlet Board Amat Pcb Assy Svg Thermco Asyst Technologies Aviza Interface Pcb Throttle Valve Kla Tencor Lam Research Rf Power Supply Brooks Automation Inlet 3500 Psi Gas N2 Huge Lot Of Ultra Industrial Medical Industrial Medical Vinyl Surplus Marking Tape Ultra Industrial Medical Vinyl Surplus Marking Tel Tokyo Electron Thermco Svg 90S Asml Silicon Valley Mass Flow Controller Valve 13 56 Mhz Pressure Transducer Type Heater Jacket Interlock Pcb Genmark Psi Maximum Needle Valve 1 Max Inlet Flaretek 1 Controller Valve Advanced Energy Mirra Amat Seiko Seiki Baratron Pressure Transducer Vimec Valve Needle Valve Mks Baratron Pri Automation Rebuilt 90 Day Warranty Pcb Pn Flow Controller Gas O2 Flow Rate Regulator Ss Maximum Inlet Scp Global Hp Robot Gas Sf6 Mass Flow Controller Gas Cf4 Flow Watkins Johnson Wafer Transfer Kulicke Soffa Gas Sih4 Magnetron Head Sbc Single Board Matching Network Process Station Applied Materials Amat Opal Nikon Nsr 600 E2 Psig Max Pcb Assembly Boc Edwards Focus Ring Diaphragm Valve Pcb Card Flow Controller Gas Cf4 Flow Rate Axis Board Single Board Computer Vacuum Chamber Controller Pcb Manometer Mks Instruments Opti-Probe 2600B Dry Pump Flow Controller Gas N2 Flow Rate Cnc 432 Model Pressure Regulator Ss 3500 Psi Max Controller Pcb Card Ge Intelligent Platform Vme-7671-421000 Sbc Single Intelligent Platform Vme-7671-421000 Sbc Single Board Cable Devicenet Length Nikon Nsr-S620d Used Untested Platform Vme-7671-421000 Sbc Single Board Comp Vme-7671-421000 Sbc Single Board Comp 605-048878-001 1 Lot Of 4 100 Psi 2-Way Valve 50 60Hz 60 Hz 74Ls 81.186.5545 90 Day Warranty Ac Motor Ac Servo Acting 12 Applied Materials Applied Materials Amat Applied Materials Screws Bearing Board Board Assembly Board Assy Board Rev Brushless Business Ca Cable Assembly Capacitive Manometer Carbon Graphite Card Board Ceramic Ceramic Sealant Chuck Circuit Board Circuit Breaker Clamp Cnc Cnc Kids Cnc Router Co Ltd Controller Board Controller Module Controller Panels Controller Unit Diffusion Furnace Driver Board Dryer Epsilon 3000 Controller Rack Fiber Optic Flow Meter Gate Valve Hotplate Induction Industrial Instrument Kit Interface Board Lam Laser Leitz Link Clamp Machines Compressed Motion Controller Motor Motors Controller Mpm Ultra-Print 200 Stencil Printers Novellus System Old Omron Plc Paper Pc Board Pcb Pcb Board Pcb Rev Pdr Power Cable Power Supply Pressure Regulator Pressure Switch Pressure Transducer Psi Max Pump Controller Quad Recif Rf Generator Robot Robot Controller Robot Kit Saw Servo Motors Sold As-Is Solenoid Valve Stainless Steel Stepping Motor System Controller Tdk Temperature Controller Thermco System Thermocouple Thermostats Tool Kit Trapped Turbo Pump Used Vacuum Pump Vacuum Gauge Vacuum Pump Vacuum System
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
639.99
Buy It Now
Free Shipping
Condition: Used
Location: Boise, United States
$
502.53
Buy It Now
$18.48 Shipping
Condition: New – Open box
Location: Canada
$
819.99
Buy It Now
Free Shipping
Condition: Used
Location: Boise, United States
$
636.68
Buy It Now
$10.52 Shipping
Condition: Used
Location: Boise, United States
(See Hours of Operation, above). Novellus C-1. Gasonics L3510 Gasonics PEP-3510. Brooks/Equipe/PRI (For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave ... more2600, 3290,5240 and others. ).
$
6499.99
Buy It Now
Condition: For parts or not working
Location: Phoenix, United States
Equipe Technology/PRI/Brooks Automation VAC-409-CHAIN Vacuum Wafer Transfer Robot For rebuild! If you don't see it, you probably won't get it. This unit ... morewas a spare from the parts room at a semiconductor fab.
$
5499.99
Buy It Now
Condition: For parts or not working
Location: Phoenix, United States
If you don't see it, you probably won't get it. I believe this system was refurbished but we do not have the expertise to verify it's functionality. Specifications ... moreare from the unit and may vary slightly due to upgrades, options, or revisions this unit may or may not have.
$
3504.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi wafer robot is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from a Hitachi ... moreM-712E Shallow Trench Etcher System. Removed from a Hitachi M-712E Shallow Trench Etcher System.
$
201.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Electroglas 244288-001 is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from an ... moreElectroglas 4085X Horizon 200mm Wafer Prober System.
$
5499.99
Buy It Now
Condition: For parts or not working
Location: Phoenix, United States
GB Robot Firmware Version: GB8Y - 5.38. Model Number: GENCOBOT 8/3L. The Robot's Information Reads If you don't see it, you probably won't get it. This ... moreunit was in the spare parts room of a semiconductor fab that closed down.
$
629.99
Buy It Now
$207.90 Shipping
Condition: Used
Location: Hauppauge, United States
Manufacturer: Brooks Automation, Part Number: Model: 002-0000-02, Notes: Sold as-is. As pictured. Includes no additional cables. Cards or accessories ... morebeyond what is pictured. If you need any further information about this item. Please ask any questions prior to purchase. ims.22437 loc.94-G:RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28e013%3F%3E%3A-14011155dca-0xff-
$
385.00
Buy It Now
Free Shipping
Condition: Used
Location: South Korea
If it is not in the attached photos, it is not included.
$
1008.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection ... moreSystem. Removed from a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection System.
$
2507.15
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Kokusai F630300350-C059 wafer transfer assembly is used untested surplus and is being sold as is. Otherwise this item is in good serviceable condition. ... moreThis item was removed from a Kokusai Zestone DD-1203V system.
$
1670.97
Buy It Now
$14.62 Shipping
Condition: Used
Location: Boise, United States
2. CONDITION: USED Powered by The free listing tool. List your items fast and easy and manage your active items.
$
95.00
Buy It Now
$10.52 Shipping
Condition: Used
Location: Boise, United States
(See Hours of Operation, above). Gasonics L3510 Gasonics PEP-3510.
$
1299.99
Buy It Now
$28.94 Shipping
Condition: Used
Location: Phoenix, United States
TEL/ Tokyo Electro Wafer Transfer Unit w/ Harmonic Gear: UHG100-5B Vexta: A3461-9415HGE Terms of Sale Systech. Inc. provides a 14-Day After Receipt of ... moreProduct Warranty unless otherwise indicated. Buyer’s identified defective product shall be returned to Systech at Buyer’s expense. Buyer is responsible for obtaining return authorization from Seller. Full refund shall be issued after receipt. Inspection and serial number verification. Refund includes shipping paid outbound from Systech. Due to cost of administration. Purchased products returned due to no longer needed or Buyer purchased error shall be assessed 15% Unit Price) Restock Fee. Buyer is responsible for obtaining return authorization. Shipping paid outbound from Systech is not refundable. Systech ships via USPS and FedEx(Ground and Express) FOB Phoenix. Please contact Systech prior to paying for product if Express shipping is required. Buyer has option to select alternate transport carrier at Buyer’s expense. Buyer must arrange alternate shipping carrier. Acceptable Payment Methods are: PayPal; Visa; MasterCard or American Express. Credit Card payment is only accepted for domestic ship to locations. Unless otherwise approved by Systech. Local Pick up is acceptable. Applicable tax applies. We thank you in advance for shopping our Store and look forward to continued business with you. Powered by The free listing tool. List your items fast and easy and manage your active items. di,RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bishhov%3C%3Edi-14bca973b9d-0xfd-
$
3999.99
Buy It Now
Condition: Used
Location: Phoenix, United States
This unit is sold as-is, untested. Load Capacity: 14 kg. Specifications are from a 3rd party and may vary slightly due to upgrades, options, or revisions ... morethis unit may or may not have. Motor Power.
$
2507.15
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Kokusai FC630300350-C057 wafer transfer assembly is used untested surplus and is being sold as-is. Notable defects include: bent panels, smashed ... morecables, snapped wafer cassette fins, and general wear and tear.
$
70.77
Buy It Now
$42.02 Shipping
Condition: New
Location: Phoenix, United States
Specifications are from ASM and may vary slightly due to upgrades, options, or revisions this unit may or may not have. ASM Information.
$
450.00
Buy It Now
$18.65 Shipping
Condition: New – Open box
Location: Plano, United States
Mactronix UKA625A3 Wafer Transfer Adapter for Eurika 3 Cassettes 6" / 150mm.
$
350.00
Buy It Now
$27.67 Shipping
Condition: New – Open box
Location: Milpitas, United States
Farmon ID: 100040. Farmon Inc. Whenever necessary.
$
500.00
Buy It Now
$104.00 Shipping
Condition: New
Location: South Korea
Part number: 580773H. Manufacturer: AXCELIS. Actual Picture of the item is provided. What you see in the picture(s) is what you get. Condition : NEW.
$
350.00
Buy It Now
$27.67 Shipping
Condition: New – Open box
Location: Milpitas, United States
Farmon ID: 100041. Farmon Inc. Whenever necessary.
$
1930.28
Buy It Now
$52.29 Shipping
Condition: New – Open box
Location: Phoenix, United States
Specifications are from ASM and may vary slightly due to upgrades, options, or revisions this unit may or may not have. There is a hole in the outer bag. ... moreThe ASM Information Reads.
$
15000.00
Buy It Now
$450.00 Shipping
Condition: Used
Location: Santa Clara, United States
For sale is a SVG wafer scrubber. The unit is sold as is.
$
300.00
Buy It Now
$24.01 Shipping
Condition: New – Open box
Location: San Jose, United States
AMAT PN: 0020-23043. Sales Conditions: - OEM New. - We follow international regulations for wood pallets and crates on all international orders. (See ... moreHours of Operation, above).
$
150.00
Buy It Now
$14.78 Shipping
Condition: New
Location: San Jose, United States
Check our website to see our full inventory. San Jose, CA 95131. 2161 O’Toole Avenue, Suite 30. Ecomicron Inc. – We follow international regulations for ... morewood pallets and crates on all international orders.
$
350.00
Buy It Now
$14.78 Shipping
Condition: New
Location: San Jose, United States
2161 O’Toole Avenue, Suite 30. - Item(s) are shown as pictured above. – We follow international regulations for wood pallets and crates on all international ... moreorders. Russian Federation . Sales Conditions.
$
27000.00
Buy It Now
Condition: Seller refurbished
Location: Santa Clara, United States
$
1202.10
Buy It Now
$24.06 Shipping
Condition: Used
Location: Albuquerque, United States
This Nikon 2S700-582-1 TCCNT Board PCB Card is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... moreRemoved from a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection System.
$
6999.99
Buy It Now
Condition: Seller refurbished
Location: Phoenix, United States
Model Number: UTC800. (1) Are you the end-user of this item?. I believe unit was refurbished but at the very least it was repaired by EEJA (Elecropolishing ... moreEngineerings of Japan).
$
100.00
Buy It Now
$14.35 Shipping
Condition: New
Location: San Jose, United States
Check our website to see our full inventory. San Jose, CA 95131. 2161 O’Toole Avenue, Suite 30. Ecomicron Inc. - We follow international regulations for ... morewood pallets and crates on all international orders.
$
252.58
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Nidek S1470-PC2592A Wafer Autoloader PCB Board is used working surplus. The physical condition is good, but there are signs of previous use and handling. ... moreThese products are subject to export restrictions under U.S. law.
$
150.00
Buy It Now
$14.78 Shipping
Condition: New
Location: San Jose, United States
Check our website to see our full inventory. – Item are showed as pictures above. 2161 O’Toole Avenue, Suite 30. Russian Federation . – Compatible with: ... moreN/A. (See Hours of Operation, above).
$
1100.00
Buy It Now
$15.76 Shipping
Condition: Used
Location: San Jose, United States
0020-10192, AMAT, Applied Materials, RING WAFER LIFT 200MM P5000 (From Process Kits: TEOS 03 and TEOS UNI). Unknown manufacturer. AMAT PN: 0020-10192, ... moreUsed. Check our website to see our full inventory.
$
100.00
Buy It Now
$14.35 Shipping
Condition: Used
Location: San Jose, United States
0090-76115. AMAT, 300MM MAINFRAME WAFER SENSOR Inventory#20043 This is genuine AMAT part and is used. Specifications: AMAT PN 0090-76115- SN# Sales Conditions: ... moreUSED OEM- Item(s) are shown as pictured above. 30 Day warranty. HOURS OF OPERATION: Office Hours: Monday- Friday: 9:00 AM- 6:00 PM Pacific Standard Time(PST) Delivery Hours: 10:00 AM- 4:00 PM Pacific Standard Time(PST) Closed: Saturday. Sunday and all major US holidays. SALE TERMS AND CONDITIONS: Only the pictured items are included in the listing. If it is not pictured or mentioned in the description it is not included. Place a bid only if you are serious about purchasing the item. If we(the sellers) are unable to establish contact with the winning bidder within 7 days of the end of the auction or if payment is not received within 7 days, the item(s) will be relisted at our discretion. PAYMENT INFORMATION: Payments are expected within 48 hours. Please contact us if payment can not be made within four days. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) A 9.25% Sales tax applies to California residents or provide a resale tax ID for our records. We will not process the order until we receive sales tax or a copy of your tax ID. SAME DAY SHIPPING POLICY: If you would like us to ship your item at the same day as your purchase. You can call us by 12:00 Noon, Pacific Standard Time at 408-526-1020. We will do our best to ship your order out the same day. There will be a 15% expediting fee that will be charged. SHIPPING DETAILS: All items will be packaged or palletized and shipped via the best and most economical way as possible. Shipping and handling times can be vary based on the packing requirements and location accordingly. Please allow us up to 5 business days for handling time. All tracking information is forwarded to the email address associated with your PayPal or eBay account. 1. DOMESTIC SHIPPING- Contact name. Phone number and company name are required for shipping(it
$
2000.00
Buy It Now
$29.48 Shipping
Condition: New
Location: San Jose, United States
This is genuine AMAT part and New. AMAT PN :0270-35025. - We follow international regulations for wood pallets and crates on all international orders. ... more(See Hours of Operation, above).
$
1250.00
Buy It Now
$16.23 Shipping
Condition: New
Location: San Jose, United States
– AMAT PN 0030-31247. Check our website to see our full inventory. – We follow international regulations for wood pallets and crates on all international ... moreorders.
$
150.00
Buy It Now
$14.35 Shipping
Condition: New
Location: San Jose, United States
Check our website to see our full inventory. - AMAT PN 0200-00275 (or 0200-00313). - Pictures are of item being sold. 2161 O’Toole Avenue, Suite 30. (See ... moreHours of Operation, above).
$
508.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Nikon Binocular Lens Eyepiece is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed ... morefrom a Nikon OPTISTATION 3 200mm Automatic Wafer Inspection System.
$
120.00
Buy It Now
$14.78 Shipping
Condition: New
Location: San Jose, United States
Check our website to see our full inventory. San Jose, CA 95131. 2161 O’Toole Avenue, Suite 30. Ecomicron Inc. – We follow international regulations for ... morewood pallets and crates on all international orders.
$
159.18
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Nitto Denko MA3000-II Wafer Mounter NEL System. This Yamatake SAB10-TS16J5 is used working surplus. The physical condition is good, but ... morethere are signs of previous use and handling. Model No: Smart Distributed System.
$
602.57
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is great, but there are signs of previous use and handling. Model No: WAFER ARM POSITION SENSOR. Part No: 0100-90790I. These products ... moreare subject to export restrictions under U.S. law.
$
2004.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Model No: KLA DF. These products are subject to export restrictions ... moreunder U.S. law.
$
704.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This KLA 710-650879-20 is used working surplus. The physical condition is good, but there are signs of previous use and handling. SHOULDER EFFECTOR. Model ... moreNo: DUAL STEPPER DRIVER. Serial numbers or country of manufacture may vary.
$
201.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Electroglas 246067-001 is used working surplus. The physical condition is Good, but there are signs of previous use and handling. Removed from a ... moreElectroglas 4085X Horizon 200mm Wafer Prober System.
$
217.10
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Bio-Rad PER7AXXD Wafer Sensor Board PCB is used working surplus. The physical condition is great, but there are signs of previous use and handling. ... moreRemoved from a Bio-Rad Quaestor Q5 System. Part No: PER7AXXD.
$
312.15
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Kensington 4000-6097-00 TS2 MSP Board PCB is used working surplus. Sold as Lot of 3. The physical condition is good, but there are signs of previous ... moreuse and handling. Removed from a Kensington CSMT-4 Compact Wafer Sorter Mapping Tool.
$
204.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Gespac ICU-2A PCB Card is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from a ... moreOnTrak Systems DSS-200 Wafer Scrubber System. Removed from a OnTrak Systems DSS-200 Wafer Scrubber System.
$
202.56
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Model No: PCB BRIDGE INTERCONNECT 2. These products are subject to export ... morerestrictions under U.S. law.
$
303.14
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This TEL Tokyo Electron 3281-000091-1 is used working surplus. The physical condition is good. But there are scratches from previous use and handling. ... moreRemoved from a P-8 Wafer Prober. Part No: 3281-000091-1 Model No: KE-I Removed from a P-8 Wafer Prober Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 10"x10"x10" 8 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 14 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Shipping and han
$
500.00
Buy It Now
$24.01 Shipping
Condition: New
Location: San Jose, United States
This is genuine AMAT part and New. AMAT PN :0140-76014. San Jose, CA 95131. 2161 O’Toole Avenue, Suite 30. Ecomicron Inc. - We follow international regulations ... morefor wood pallets and crates on all international orders.
$
1752.91
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. These products are subject to export restrictions under U.S. law.
$
425.04
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
System/Tool: Horizon 4085X. This Electroglas interface PCB assembly is used surplus that was removed from a Horizon 4085 inspection tool. The physical ... morecondition is excellent, and the unit includes 4 PCBs.
$
201.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Electroglas 114824-002 is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed from an ... moreElectroglas 4085X Horizon 200mm Wafer Prober System.
$
2999.99
Buy It Now
Condition: For parts or not working
Location: Phoenix, United States
The arm moves back and forth. It is sold as-is, where-is. I believe the current model from Genmark is a GB4-CTR. Genmark GB4/Gencobot 4 Atmospheric Wafer ... moreTransfer Robot made for ASM. Specifications are from Genmark and may vary slightly due to upgrades, options, or revisions this unit may or may not have.
$
301.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. These products are subject to export restrictions under U.S. law. Serial ... morenumbers or country of manufacture may vary.
$
399.99
Buy It Now
Free Shipping
Condition: New
Location: Phoenix, United States
NEW ASM PN: 04-333521D01 RFK-WAFER-MAP-TX SENSOR Transfer Retrofit Kit. Specifications are from ASM and may vary slightly due to upgrades, options, or ... morerevisions this unit may or may not have. The ASM Information Reads.
$
2504.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. These products are subject to export restrictions under U.S. law. Serial ... morenumbers or country of manufacture may vary.
$
810.18
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
The physical condition is great.
1 2 3
 In 

Former Listings  
 
Genmark Automation LARGE Robot System Motion Controller Wafer Transfer Handling
Search our eBay Store! Genmark Automation LARGE Robot System Motion Controller Wafer Transfer Handling SKU: JV-MAR-C-GENMARKL Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Genmark Automation Large Robot System Motion Controller Wafer Transfer Handling Model: Large P/N: 9800109141UT Power Requirements: ~120/220 V; 600VA; 50/60 Hz Physical condition: Good; Minor scuffs/scratches on unit from previous use. Missing 1 rubber stand. Rear panel is all intact; Ports and connectors are all in good condition; no damage. Dimensions(L"W"H" 17 5/8 x 19 x 7 ¼ Unit powers on. Fans engage. ... moreWe do not have the necessary resources to further test this unit. Does not include power cable. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please con
Brooks Automation Fixload 25 300mm Wafer Load Port Transfer Handling SMIF PARTS
Search our eBay Store! Brooks Automation Fixload 25 300mm Wafer Load Port Transfer Handling SMIF PARTS SKU: JV-JIM-C-FXLPARTS Condition: For parts or not working Packaging: Pallet/Skid Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Brooks Automation Fixload 25 300mm Wafer Load Port*FOR PARTS OR REPAIR* Model: 25 Physical Condition: Scratches and scuffs. Several large dents. We do not have the necessary resources to test this unit. Dimensions(L"W"H" 24* 18 1/2* 55 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. ... moreCA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us
DAS Dynamic Automated Systems ROB 300 Series Wafer Transfer Robot, S/N 2601001W
You are bidding on a DAS Dynamic Automated Systems wafer transfer robot. Serial# 2601001W. PTB packs parcel shipments utilizing foam in place packaging with care. We ship heavy items(we draw the line at 100 lbs in most cases) boxed and strapped to a pallet. We will bill shipments to your shipping account or add into the invoice total. Our preferred carrier is FedEx. 626-334-0500 1331 Mountain View Circle Azusa. CA 91702
Brooks Automation Wafer Transfer Robot 002-0000-02 MagnaTran
Manufacturer: Brooks Automation, Part Number: Model: 002-0000-02, Notes: Sold as-is. As pictured. Includes no additional cables. Cards or accessories beyond what is pictured. If you need any further information about this item. Please ask any questions prior to purchase. ims.22437 loc.94-G:RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28e013%3F%3E%3A-14011155dca-0xff-
FORTREND F-8225 WAFER TRANSFER HANDLER
Fortrend F-8225 8" 200mm 25 Wafer Transfer System! functionality unverified! WE SHIP WORLDWIDE ASK FOR INDIVIDUAL SHIPPING COSTS Sale Details: Condition: AS-IS. No warranty. Regarding freight: Smaller items will be sent by Post service or parcel service. For big and heavy items please contact us and your shipping agency in a timely manner. Thank you. Please note: This article is mainly appointed for commercial bidders/buyers. The price for these article is therefore the net price without value added tax(VAT) For non-commercial bidders/buyers in the EU(European Union) and commercial buyers in the EU that not own a UID-Number has to be added the legal value added tax(VAT) The prices in the listing therefore increase about the legal Austrian value added tax rate(20% Cu stomers should contact us to agree shipping charges. Only items that ... moreare pictured or mentioned in the description are included. For multiple listed items: If there is a pictured serial number it is not necessarily the one that will be sent. But the item condition is the same. Hours of Operation: Office Hours: Monday– Thursday: 8:00 am– 4:30 pm Central European Time(CET) Friday: 8:00 am– 2:30 pm Central European Time(CET) Our office is closed Saturday. Sunday and all Austrian holidays. Sale Terms and Conditions: Only the pictured and mentioned items are included in the listing. If anything is not pictured or mentioned in the description it is not included. Please place a bid only if you are serious about purchasing the item. If we(the sellers) are unable to establish contact with the winning bidder within 7 days of the end of the auction or selling or if payment is not received within 14 days, the item(s) will be re-listed at our discretion. Payment Information: Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days. Payment arrangements can be made only after call back. Payments can be made via bank transfer. Cash upon pickup, PayPal. If not mentionend.
Genmark Automation 9960754 Wafer Transfer Robot
You are bidding on a Genmark Automation wafer transfer robot. PTB packs parcel shipments utilizing foam in place packaging with care. We ship heavy items(we draw the line at 100 lbs in most cases) boxed and strapped to a pallet. We will bill shipments to your shipping account or add into the invoice total. Our preferred carrier is FedEx. 626-334-0500 1331 Mountain View Circle Azusa. CA 91702
TEL ACT 12 2987-455716-W1 Cassette Block Z Axis Wafer Transfer Station Working
This TEL Tokyo Electron 2987-455716-W1 is used working surplus. The physical condition is good. But there are signs of previous use and handling. Removed from a TEL Tokyo Electron ACT 12. Part No: 2987-455716-W1 NSK Linear Actuator Part No: XY-HRS030ZM105 NSK Rotation Motor Part No: JS1003FN506 Takada Control PCB Part No: FJ39EB-T010N0 Removed from TEL Tokyo Electron ACT 12 Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 36"x30"x14" 60 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available ... morefor sale. For multiple listed items. The pictured serial number, date or country of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 14 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25%
Genmark Automation 9961152 Wafer Transfer Robot
Genmark 9961152 Wafer transfer robot. Used. Check out my! SER.
KENSINGTON LABORATORIES 15-0000-0028-02 Wafer Transfer system with wafer orien
Wafer Transfer system with wafer orienteer. Controller in mini environment harvested never installed tool Powered by
Wafer Transfer Station 200,150,125mm, Genmark GENCOBOT 4
Robot. Loading Station Powered by