Fsi Polaris Unity 2 Load Port Vcr Female 1 4 E-Beam Evaporator Airco Temescal Manual Wedge Rps Mks Lam Research Thin Film Deposition Uv Curing Advanced Energy Rfg Thermo Neslab Gas Purifier Solid State Rf Kokusai Electric Rf Matcher Nikon Nsr-S204b Svg Asml Dry Vacuum Pump Commonwealth Scientific Uv Exposure Electrostatic Chuck Leybold Heraeus Interface Pcb Dry Pump Type Vcr Male Cascade Microtech Iso 100 Research Chamber Coater Precision 5000 Gsi Lumonics Advanced Energy Pinnacle Dc Magnetron Power Energy Pinnacle Dc Magnetron Power Supply Hitachi S-9380 Ue Precision Sensors Pump Rebuilt Mass Flow Controller N2 Terra Universal Semvision Cx Ozone Generator Buehler Isomet Ion Systems Entegris Horiba Stec 100 Sccm Rev 002 Deposition Controller Turbomolecular Pump Kinetic Systems Ii Altus Max Inlet Pressure Controller Scanning Electron Microscope Wafer Transfer Vacuum Valve Cable Assy Mitsubishi Melsec Rebuilt 1 Year Warranty Turbo Vacuum Pump Rf Auto Vacuum Flange Parker Veriflo Unit Mfc Helium Leak Detector Unity Ii Pcb Robot Arm Transponder Reader Turbo Pump Control Apex 3013 Microwave Generator Diffusion Pump Flow Switch Turbo Molecular Pump Controller Particle Counter Branson Ipc Bellows Valve Pressure Sensors Wedge Wire Bonder Refurbished Unit Instruments Hfv 8000 Digital Dynamics Sioc Denton Vacuum Recirculating Chiller Dcg-200Z Optima Thermal Evaporator Conflat Flange Iso 250 Gas Cabinet Nikon Optistation Ag Associates Adaptive Pressure Controller Regulator Max Inlet Vacuum Pump Controller Absolute Pressure Nemic Lambda Novellus Systems Sbs Technologies Verity Instruments Hine Design Factory Interface Mfc Mass Flow Controller Surface Profiler Assy Pcb 2 75 Cf Dual Rf 50 Sccm Pneumatic Manifold Process Controller Water Chiller Module Mfg Fischer Isoscope Tel-Alpha 8S Furnace Board Cooling Chiller Advanced Energy Sparc-Le Linear Motor Controller Pinnacle Plus 300 Sccm Technologies Inc Epoxy Die Bonder Mdx 500 Diaphragm Gauge Mks Eni Dcg-200Z Vacuum Chamber Advanced Energy Process Systems Gas Controller Lamp Power Supply Pearl Kogyo Rapid Thermal Mechanical Convection Vacuum Oven Aixtron Movpe-Aix200 Reactor Pulsed Dc Auto Gate Magnetron Drive Bd P5000 Equipe Technologies Vaccum Gauge Vacuum Switch Vacuum Apparatus Valve 90 Day Warranty Diaphragm Valve Dainippon Screen Gauge Control Temp Controller Type Vcr Female 1 4 Controller Systems Frequency Generator Rf Match Pvd Load Lock Female 1 4 Act 12 Low Frequency Xynetics Electroglas Distribution Board Microwave Power Supply 4 Gasonics Pump Controller Unit Inert Gas Recirculator Chiller Vacuum Sensors Analytical Wafer Load Cell Spin Coater Pcb Assembly Master Power Supply Newport Optical Fixture J-Vph-2 Wj999 1000 Micropull Iii Teach Pendant Generator Rack Dc Magnetron Sputtering Power Supply Power Ten P5000 Cvd Orbotech Optrotech 10Kw 13 Advance Hivolt Yaskawa Electric Mass Spectrometer Manual Valve Controller Panel Vacuum Base Dc Plasma Rf Generator Ion Pump Controller Butterfly Valve Match Unit Vacuum Pipe Tylan Fc-2960Mep5 Mfc Mass Flow Controller Speedfam Vexta Stepping Motor Sputtering Target Unit Ufc-1660 Flow Sensors Welding Head Printed Circuit Board Coating Systems Manual Valve Regulator Inlet Curing Systems Rev P1
 In 
 
Order by: 
Available to: 
Price: 
 - 
This site contains affiliate links for which I may be compensated
$
363.00
Buy It Now
$100.00 Shipping
Condition: Used
Location: Ventura, United States
Drytek S100 Wafer Power System w Jennings RB2A-26N00 Relay& Cardwell Parts(RF) Drytek S100 Wafer RF Power System w Jennings RB2A-26N00 Relay& ... moreCardwell Parts Jennings RB2A-26N00 Glass Relay 20kVDC Cardwell 229-207-2 Roller Inductor Coil Cardwell 154-3-1 Air Variable Capacitor QTY = 4: High Energy Corp. HECHT50 120pf Ceramic Capacitors QTY = 1: High Energy Corp. CRC850 Surge Suppressor Aluminum plate dimensions: 6.0" x 6.25" This unit was pulled from a Drytek 100S Plasma Wafer Etcher Overall dimensions: 18.5" x 17" x 13.5" 45 LBS, If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48459
$
3334.10
Buy It Now
$600.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Tegal Corp 803 Inline Automatic Wafer RF Plasma Etcher Untested As Is Description Tegal Corporation 803 Inline Inline Automatic Wafter RF Plasma Etcher ... moreUntested As Is Many Useful Parts Cover removed in photos to show component views. This tool is heavy. Nearly 400 pounds, and will require freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Corp Manufacturer Part Number 803 Item/Ship Weight 350 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42622 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still sho
$
139.00
Buy It Now
$87.39 Shipping
Condition: For parts or not working
Location: Gainesville, United States
(Set of 3): Silicon Wafer Semiconductor CVD Processing Turret Stainless Steel Rotisserie Apparatus, Machine, Processor. Three units, sold as one set. ... moreTwo appear to have CVD deposition heads (maybe that's what they are).
$
718.30
Buy It Now
$400.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Click here to see description.
$
2300.00
Buy It Now
$28.51 Shipping
Condition: Used
Location: Austin, United States
These products are subject to export restrictions under U.S. law. Item Condition: Used, as-is.
$
2512.13
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi M-511E is used working surplus. The physical condition is good, but there are scratches from previous use and handling. Removed from a M-511E ... moreMicrowave Plasma Etching System. Removed from a M-511E Microwave Plasma Etching System.
$
198.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
250.00
Buy It Now
Condition: New – Open box
Location: Morgan Hill, United States
$
211.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
These Lam Research 839-011516-001 Upper Chamber Assembly Parts are used, working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. They were removed from a LAM Research Rainbow 4420 Etcher.
$
8716.81
Buy It Now
$243.71 Shipping
Condition: For parts or not working
Location: Canada
$
1200.00
Buy It Now
$70.35 Shipping
Condition: Used
Location: Morgan Hill, United States
Matrix 303 Matrix 105 (?) Plasma Etcher Plasma Asher Bottom Of ChamberUsed, not tested. We sell it at as is, where is . No warranty.no return.Subject ... moreto prior sale.ID: AWS-001Contact us by email if you have any questions.
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
1351.90
Buy It Now
$600.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
LFE Corporation PFS/PCrE/PDS-501 ICP Barrel Type Plasma Chrome Etch System Description LFE Corporation PFS/PCrE/PDS-501 ICP Barrel Type Plasma Chrome ... moreEtch System diameter of interior barrel: 13 1/2" This item requires freight shipping please contact us for a quote prior to purchasing. Manufacturer LFE Corporation Manufacturer Part Number PFS/PCrE/PDS-501 Item/Ship Weight 282 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item may require palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 44794 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package
$
8800.00
Buy It Now
Condition: Used
Location: Scotts Valley, United States
$
455.00
Buy It Now
$20.13 Shipping
Condition: Used
Location: Morgan Hill, United States
Lam Research recipe module Condition: Used. We didn’t test it. We sell it at as is, where is without warranty.Return policy: no return.The photos were ... morefrom the real item. All are in the photos.Pls do not buy this item if you do not accept our terms. Appreciate your time!Related equipment: Lam Research Lam autoetch 490 590 690 790 plasma etcher equipment ?Location: B6-1-2-Recipe
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
45.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
650.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
All are in the photos. No other staff are included.Used. not tested. We sell it at as is, where is . No warranty.no return.Subject to prior sale.Contact ... moreus by email if you have any questions.
$
125.00
Buy It Now
$53.96 Shipping
Condition: Used
Location: Morgan Hill, United States
Subject to prior sale without notice.
$
1250.00
Buy It Now
$105.84 Shipping
Condition: Seller refurbished
Location: Morgan Hill, United States
Tegal 915 RF Generator RF Plasma Products Model T-502E 500w CR1087-20101RW For Plasma Barrel Etcher Asher Location: Morgan Hill,CA95037 USA. 3A1-suite ... more5Valid Time: Subject to prior sale. This item is only for end userNo warranty no refund!!
$
455.00
Buy It Now
$20.13 Shipping
Condition: Used
Location: Morgan Hill, United States
Lam Research recipe module Condition: Used. We didn’t test it. We sell it at as is, where is without warranty.Return policy: no return.The photos were ... morefrom the real item. All are in the photos.Pls do not buy this item if you do not accept our terms. Appreciate your time!Related equipment: Lam Research Lam autoetch 490 590 690 790 plasma etcher equipment ?Location: B6-1-2-Master
$
11.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
65.00
Buy It Now
$53.96 Shipping
Condition: Used
Location: Morgan Hill, United States
Subject to prior sale without notice.
$
60.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
60.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
45.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
200.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
PN TGL-39-694-001 Tegal Spare Parts. PULLEY END CARRIAGE?. Photos are for all. Price is only for one pieces.
$
3505.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi 7200 Etcher VME Micro Computer Assembly is used working surplus. The physical condition is good. But there are signs of use and some scuffs ... moreand scratches from previous use and handling. Part No: VME Micro Computer Ebrain Bus Rack Enclosure 012163 Installed Cards Motorola Part No: 162-262 Hitachi Part No: VMPM-02N Hitachi Part No: I0TC-02N Removed from a Hitachi 7200 Etcher Tool Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 18"x18"x18" 26 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number, date or country of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 3 RL0 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A
$
55000.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
Vacuum load lock with wafer transfer robot. Can process wafers from 2” to 8” depending on which process kit is installed. High frequency RF-based inductively ... morecoupled plasma source capable of high density plasma generation.
$
50.00
Buy It Now
$23.56 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Parts For TEGAL 901E 903E plasma etcherCondition: NewPhotos for all and the price is for allWarranty:N/AReturn policy: N/ALocation:DU-1-3-2-3
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
8250.00
Buy It Now
Condition: For parts or not working
Location: North Billerica, United States
REACTIVE ION ETCHER. PLASMA-THERM 700 RIE SYSTEM. Plasma-Therm 700.
$
795.00
Buy It Now
Free Shipping
Condition: Used
Location: Morgan Hill, United States
80-095-278RW PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-3-001Condition: Used. New? Not tested.We sell it at AS IS,WHERE IS without warranty.This ... moreItem is subject to prior sale without notice.
$
2750.00
Buy It Now
$95.28 Shipping
Condition: Used
Location: Morgan Hill, United States
Subject to prior sale without notice.
$
190.00
Buy It Now
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2150.00
Buy It Now
$86.57 Shipping
Condition: Seller refurbished
Location: Morgan Hill, United States
Tegal 915 RF Matching With 5623301 99-214-003RW For Plasma Barrel Etcher Asher Location: Morgan Hill,CA95037 USA. 3A1-suite 5Valid Time: Subject to prior ... moresale. This item is only for end userNo warranty no refund!!
$
275.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
Used. Not tested. We sell it at as is, where is . No warranty.no return.ID-DU-3-11Photos are for all. Price is only for one piece.Subject to prior sale.Contact ... moreus by email if you have any questions.
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
21.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
178.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
450.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
99-206–001 B PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DU-2-8-002The photos are for 7 pieces. The price is only for one (1) piece.Condition: ... moreUsed. New? Not tested.We sell it at AS IS,WHERE IS without warranty.This Item is subject to prior sale without notice.
$
5.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
PN TGL-39-699-001 Tegal Spare Parts.
$
4012.19
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
This Tegal 701 Inline Automatic Wafer RF Plasma Etcher is used surplus and is being sold as-is. The unit does power on and and all features appear to ... morework but no further testing could be completed and is being sold as-is.
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
250.00
Buy It Now
Condition: New – Open box
Location: Morgan Hill, United States
$
23500.00
Buy It Now
Condition: Used
Location: Palisades Park, United States
Plasma 901e Tegal Etcher With Manual. SOLD AS SEEN IN PICTURES! Not tested. Cassette to Cassette Poly/Nitride Plasma Etcher*Easy to use menu driven control*Input ... moregases controlled by MFC. Up to 4 MFC with system*Non-friction spatula wafer transport- Power requirement: 208 VAC 25A 1 PH- S/N: CU50134- Item# CU9000-50134-Volt: 200/208 VAC- Curr. 30 Amps- Freq. 50/60 Hz- Wires: 2+ ground- Wfg: 11/1999- m eter reads: 5081-RCTN Chamber item No. CC1106-01302 NOTE: We do not know the wafer size of the unit. If you know a way to tell. Please let us know. Specifics Below are off the internet: This is only to help. We do not know if this is exactly what we have. Please ask questions. Wafer Size Capacity: 3.4,5,6 inch Cassette To Cassette In-line Single Wafer Plasma Etcher.Capability to etch vias and contacts with anisotropic or sloped profiles.For etching silicon dioxide. Silicon nitrides, and polyimides.Can handle wafers from up to 6 in. Microprocessor control.208 V, 50/60 Hz. Tegal 901e series Plasma/RIE etch System are used by the Semiconductor Industry for integrated circuit fabrication. The system are used in one part of the sequence of manufacturing steps that transfer a pattern formed from a layer of photosensitive material, the photoresist, to a layer that makes up a permanent part of the final device. The process of defining a pattern with photoresist known as photolithography, while the etch process transfers the photoresist pattern to the permanent layer.Tegal 900 Series systems deliver highly reliable, repeatable results in etching a variety of films used to manufacture semiconductor, telecommunications and optoelectronics devices, flat panel displays and thin film magnetic heads.Tegal 901e,are designed around a production-proven wafer transport design that can accommodate 75 mm to 150 mm round silicon, GaAs, InP, and dielectric material substrates. The transport can also be configured to accommodate rectangular substrates up to 125 mm on a side. Typical Applications for Tegal 901e:1. Nitr
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
1 2 3 4 5 6 7 8 9 10 11
 In