In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
4999.99
Buy It Now
$218.00 Shipping
Condition: Used
Location: Milton Freewater, United States
THIS IS A WORKING PLASMA ETCH PE-50. W/0113 RF POWER SOURCE.
$
139.00
Buy It Now
$87.39 Shipping
Condition: For parts or not working
Location: Gainesville, United States
(Set of 3): Silicon Wafer Semiconductor CVD Processing Turret Stainless Steel Rotisserie Apparatus, Machine, Processor. Three units, sold as one set. ... moreTwo appear to have CVD deposition heads (maybe that's what they are).
$
718.30
Buy It Now
$400.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Click here to see description.
$
1351.90
Buy It Now
$600.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
LFE Corporation PFS/PCrE/PDS-501 ICP Barrel Type Plasma Chrome Etch System Description LFE Corporation PFS/PCrE/PDS-501 ICP Barrel Type Plasma Chrome ... moreEtch System diameter of interior barrel: 13 1/2" This item requires freight shipping please contact us for a quote prior to purchasing. Manufacturer LFE Corporation Manufacturer Part Number PFS/PCrE/PDS-501 Item/Ship Weight 282 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item may require palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 44794 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package
$
210.83
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. These products are subject to export restrictions under U.S. law. Serial ... morenumbers or country of manufacture may vary.
$
275.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
Used. Not tested. We sell it at as is, where is . No warranty.no return.ID-DU-3-7Photos are for all. Price is only for one piece.Subject to prior sale.Contact ... moreus by email if you have any questions.
$
48980.00
Buy It Now
Condition: Seller refurbished
Location: North Billerica, United States
Reactive Ion Etcher with manual load Load lock. 6 MFCs-BCl3. Cl2, CH4, H2, Ar, O2) Pfeiffer Turbo TPH330PC and turbo controller on the Main chamber. Pfeiffer ... moreTurbo TMH 260C on the Load Lock. Advanced Energy RFX600 RF 600 Watt power supply. System sold as is.Where is.
$
45.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
4700.00
Buy It Now
$200.00 Shipping
Condition: Used
Location: State College, United States
It will remove the contaminations and promote adhesion with other materials (before sputtering electrode or lamination).
$
71.50
Buy It Now
$12.66 Shipping
Condition: Used
Location: Ventura, United States
Drytek LED/Control Board for 100S Plasma Wafer Etcher 2800054 C-2800051(2800052) Drytek 2800052 LED/Control Board for 100S Plasma Wafer Etcher 2800054 ... moreC-2800051 Part number: 2800052 Assembly number: 2800054 Schematic number: C-2800051 This unit was pulled from a Drytek 100S Plasma Wafer Etcher If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48421 643.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d71f%2B%3E643-14741e27ad5-0x10d-
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
501.55
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
They are in good physical condition but there are signs of previous use and handling. Part No: MLXS-D12-670-3. These products are subject to export restrictions ... moreunder U.S. law.
$
2750.00
Buy It Now
$95.28 Shipping
Condition: Used
Location: Morgan Hill, United States
Subject to prior sale without notice.
$
8800.00
Buy It Now
Condition: Used
Location: Scotts Valley, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
190.00
Buy It Now
Condition: New – Open box
Location: Morgan Hill, United States
$
21500.00
Buy It Now
Condition: Used
Location: Freehold, United States
Batch system for plasma cleaning or etching. Not standard horizontal mounting. Two gas inputs. Can accommodate multiple shelves.
$
250.00
Buy It Now
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
250.00
Buy It Now
Condition: New – Open box
Location: Morgan Hill, United States
$
125.00
Buy It Now
$53.96 Shipping
Condition: Used
Location: Morgan Hill, United States
Subject to prior sale without notice.
$
4012.19
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
This Tegal 701 Inline Automatic Wafer RF Plasma Etcher is used surplus and is being sold as-is. The unit does power on and and all features appear to ... morework but no further testing could be completed and is being sold as-is.
$
2004.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Plasma-Therm Clusterlock 7000 PM RIE Reactive Ion Etching System. The physical condition is good, but there are signs of previous use and ... morehandling. Part No: 714. Axiom Single Board Computer SBC Part No: SSC-486VGA.
$
455.00
Buy It Now
$20.13 Shipping
Condition: Used
Location: Morgan Hill, United States
Lam Research recipe module Condition: Used. We didn’t test it. We sell it at as is, where is without warranty.Return policy: no return.The photos were ... morefrom the real item. All are in the photos.Pls do not buy this item if you do not accept our terms. Appreciate your time!Related equipment: Lam Research Lam autoetch 490 590 690 790 plasma etcher equipment ?Location: B6-1-2-Recipe
$
455.00
Buy It Now
$20.13 Shipping
Condition: Used
Location: Morgan Hill, United States
Lam Research recipe module Condition: Used. We didn’t test it. We sell it at as is, where is without warranty.Return policy: no return.The photos were ... morefrom the real item. All are in the photos.Pls do not buy this item if you do not accept our terms. Appreciate your time!Related equipment: Lam Research Lam autoetch 490 590 690 790 plasma etcher equipment ?Location: B6-1-2-Master
$
14500.00
Buy It Now
$42.81 Shipping
Condition: Seller refurbished
Location: Allen, United States
Can be used as stand-alone (portable unit) or integrate into AMAT etcher.
$
1250.00
Buy It Now
$105.84 Shipping
Condition: Seller refurbished
Location: Morgan Hill, United States
Tegal 915 RF Generator RF Plasma Products Model T-502E 500w CR1087-20101RW For Plasma Barrel Etcher Asher Location: Morgan Hill,CA95037 USA. 3A1-suite ... more5Valid Time: Subject to prior sale. This item is only for end userNo warranty no refund!!
$
11.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
650.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
All are in the photos. No other staff are included.Used. not tested. We sell it at as is, where is . No warranty.no return.Subject to prior sale.Contact ... moreus by email if you have any questions.
$
65.00
Buy It Now
$53.96 Shipping
Condition: Used
Location: Morgan Hill, United States
Subject to prior sale without notice.
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
1256.07
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi M-511E Wafer Cassette Ergo Loader is used working surplus. The physical condition is great, but there are signs of previous use and handling. ... moreRemoved from a Hitachi M-511E Microwave Plasma Etching System.
$
7500.00
Buy It Now
Condition: Used
Location: Phoenix, United States
Automated Plasma, Inc. Self Contained Plasma Etching System PC Boards. Stokes Pennwalt 3Q6-41 Vacuum system with blower. Pyramid Cabinet, Front Loading, ... moreSix (6) Panel.
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
200.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
PN TGL-39-694-001 Tegal Spare Parts. PULLEY END CARRIAGE?. Photos are for all. Price is only for one pieces.
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
795.00
Buy It Now
$98.53 Shipping
Condition: Used
Location: Morgan Hill, United States
80-095-278RW PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-6-2-002Condition: Used. New? Not tested.We sell it at AS IS,WHERE IS without warranty.This ... moreItem is subject to prior sale without notice.
$
550.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
03601-22-040 Display Assy For Tegal 901e Tegal 903e Plasma Etcher DU-2-5/6-001Price is for one piece only. The photos are for 7 pieces.Condition: Used. ... moreNot tested.We sell it at AS IS,WHERE IS without warranty.This Item is subject to prior sale without notice.
$
275.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
Used. Not tested. We sell it at as is, where is . No warranty.no return.ID-DU-3-11Photos are for all. Price is only for one piece.Subject to prior sale.Contact ... moreus by email if you have any questions.
$
50.00
Buy It Now
$23.56 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Parts For TEGAL 901E 903E plasma etcherCondition: NewPhotos for all and the price is for allWarranty:N/AReturn policy: N/ALocation:DU-1-3-2-3
$
55.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
1505.12
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Jobin Yvon Monochromator H-10VIS is used working surplus. The unit includes fiber optic cable. Part No: H-10 VIS. Serial numbers or country of manufacture ... moremay vary. These products are subject to export restrictions under U.S. law.
$
3012.19
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
Tegal 803 Inline Automatic Wafer RF Plasma Etcher As Is for Parts or Repair Description Tegal 803 Inline Automatic Wafer RF Plasma Etcher As Is for Parts ... moreor Repair RF Power Supply Intact Cover removed in photos to show component views. This tool is heavy. Nearly 400 pounds, and will require freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Manufacturer Part Number 803 Item/Ship Weight 370 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42618 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showin
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
2004.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Plasma-Therm Clusterlock 7000 PM RIE Reactive Ion Etching System. The physical condition is good, but there are signs of previous use and ... morehandling. Part No: 714. Axiom Single Board Computer SBC Part No: SSC-486VGA.
$
5.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
PN TGL-39-699-001 Tegal Spare Parts.
$
198.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
795.00
Buy It Now
$98.53 Shipping
Condition: Used
Location: Morgan Hill, United States
80-095-278 PCB For Tegal 901e Tegal 903e Plasma Etcher Etching DT-4-4-002Condition: Used. Not tested.We sell it at AS IS,WHERE IS without warranty.This ... moreItem is subject to prior sale without notice.
$
25.00
Buy It Now
$23.56 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
We provide fully refurbished Tegal 901e for Plasma Etcher. We also provide refurbished Tegal 903e Plasma Etch Systems. Installation and training and warranty ... moreare optional at extra charge. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
$
23500.00
Buy It Now
Condition: Used
Location: Palisades Park, United States
Plasma 901e Tegal Etcher With Manual. SOLD AS SEEN IN PICTURES! Not tested. Cassette to Cassette Poly/Nitride Plasma Etcher*Easy to use menu driven control*Input ... moregases controlled by MFC. Up to 4 MFC with system*Non-friction spatula wafer transport- Power requirement: 208 VAC 25A 1 PH- S/N: CU50134- Item# CU9000-50134-Volt: 200/208 VAC- Curr. 30 Amps- Freq. 50/60 Hz- Wires: 2+ ground- Wfg: 11/1999- m eter reads: 5081-RCTN Chamber item No. CC1106-01302 NOTE: We do not know the wafer size of the unit. If you know a way to tell. Please let us know. Specifics Below are off the internet: This is only to help. We do not know if this is exactly what we have. Please ask questions. Wafer Size Capacity: 3.4,5,6 inch Cassette To Cassette In-line Single Wafer Plasma Etcher.Capability to etch vias and contacts with anisotropic or sloped profiles.For etching silicon dioxide. Silicon nitrides, and polyimides.Can handle wafers from up to 6 in. Microprocessor control.208 V, 50/60 Hz. Tegal 901e series Plasma/RIE etch System are used by the Semiconductor Industry for integrated circuit fabrication. The system are used in one part of the sequence of manufacturing steps that transfer a pattern formed from a layer of photosensitive material, the photoresist, to a layer that makes up a permanent part of the final device. The process of defining a pattern with photoresist known as photolithography, while the etch process transfers the photoresist pattern to the permanent layer.Tegal 900 Series systems deliver highly reliable, repeatable results in etching a variety of films used to manufacture semiconductor, telecommunications and optoelectronics devices, flat panel displays and thin film magnetic heads.Tegal 901e,are designed around a production-proven wafer transport design that can accommodate 75 mm to 150 mm round silicon, GaAs, InP, and dielectric material substrates. The transport can also be configured to accommodate rectangular substrates up to 125 mm on a side. Typical Applications for Tegal 901e:1. Nitr
$
125.00
Buy It Now
$23.56 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Parts For TEGAL 901E 903E plasma etcherCondition: NewPhotos for all and the price is for allWarranty:N/AReturn policy: N/ALocation:DU-1-3-1-1
$
25.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
80.00
Buy It Now
$23.56 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
We provide fully refurbished Tegal 901e for Plasma Etcher. We also provide refurbished Tegal 903e Plasma Etch Systems. Installation and training and warranty ... moreare optional at extra charge. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
$
50.00
Buy It Now
$23.56 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
We provide fully refurbished Tegal 901e for Plasma Etcher. We also provide refurbished Tegal 903e Plasma Etch Systems. Installation and training and warranty ... moreare optional at extra charge. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
$
50.00
Buy It Now
$23.56 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
We provide fully refurbished Tegal 901e for Plasma Etcher. We also provide refurbished Tegal 903e Plasma Etch Systems. Installation and training and warranty ... moreare optional at extra charge. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
1 2 3 4 5 6 7 8 9 10 11
 In