Flow Rate Nikon Nsr-S620d Mass Flow Controller Range Regulator Ss Pressure Regulator Ss Ap Tech Pcb Amat Mass Flow Controller Gas Mass Flow Controller Gas O2 Flow Card Amat ½ Flaretek Amat Assy Semitool Mass Flow Controller Gas N2 Flow Astex Asml 4022 Psi Max Inlet Board Amat Pcb Assy Svg Thermco Asyst Technologies Aviza Interface Pcb Throttle Valve Kla Tencor Lam Research Rf Power Supply Brooks Automation Inlet 3500 Psi Gas N2 Huge Lot Of Ultra Industrial Medical Industrial Medical Vinyl Surplus Marking Tape Ultra Industrial Medical Vinyl Surplus Marking Tel Tokyo Electron Thermco Svg 90S Asml Silicon Valley Mass Flow Controller Valve 13 56 Mhz Pressure Transducer Type Heater Jacket Interlock Pcb Genmark Psi Maximum Needle Valve 1 Max Inlet Flaretek 1 Controller Valve Advanced Energy Mirra Amat Seiko Seiki Baratron Pressure Transducer Vimec Valve Needle Valve Mks Baratron Pri Automation Rebuilt 90 Day Warranty Pcb Pn Flow Controller Gas O2 Flow Rate Regulator Ss Maximum Inlet Scp Global Hp Robot Gas Sf6 Mass Flow Controller Gas Cf4 Flow Watkins Johnson Wafer Transfer Kulicke Soffa Gas Sih4 Magnetron Head Sbc Single Board Matching Network Process Station Applied Materials Amat Opal Nikon Nsr 600 E2 Psig Max Pcb Assembly Boc Edwards Focus Ring Diaphragm Valve Pcb Card Flow Controller Gas Cf4 Flow Rate Axis Board Single Board Computer Vacuum Chamber Controller Pcb Manometer Mks Instruments Opti-Probe 2600B Dry Pump Flow Controller Gas N2 Flow Rate Cnc 432 Model Pressure Regulator Ss 3500 Psi Max Controller Pcb Card Ge Intelligent Platform Vme-7671-421000 Sbc Single Intelligent Platform Vme-7671-421000 Sbc Single Board Cable Devicenet Length Nikon Nsr-S620d Used Untested Platform Vme-7671-421000 Sbc Single Board Comp Vme-7671-421000 Sbc Single Board Comp 605-048878-001 1 Lot Of 4 100 Psi 2-Way Valve 50 60Hz 60 Hz 74Ls 81.186.5545 90 Day Warranty Ac Motor Ac Servo Acting 12 Applied Materials Applied Materials Amat Applied Materials Screws Bearing Board Board Assembly Board Assy Board Rev Brushless Business Ca Cable Assembly Capacitive Manometer Carbon Graphite Card Board Ceramic Ceramic Sealant Chuck Circuit Board Circuit Breaker Clamp Cnc Cnc Kids Cnc Router Co Ltd Controller Board Controller Module Controller Panels Controller Unit Diffusion Furnace Driver Board Dryer Epsilon 3000 Controller Rack Fiber Optic Flow Meter Gate Valve Hotplate Induction Industrial Instrument Kit Interface Board Lam Laser Leitz Link Clamp Machines Compressed Motion Controller Motor Motors Controller Mpm Ultra-Print 200 Stencil Printers Novellus System Old Omron Plc Paper Pc Board Pcb Pcb Board Pcb Rev Pdr Power Cable Power Supply Pressure Regulator Pressure Switch Pressure Transducer Psi Max Pump Controller Quad Recif Rf Generator Robot Robot Controller Robot Kit Saw Servo Motors Sold As-Is Solenoid Valve Stainless Steel Stepping Motor System Controller Tdk Temperature Controller Thermco System Thermocouple Thermostats Tool Kit Trapped Turbo Pump Used Vacuum Pump Vacuum Gauge Vacuum Pump Vacuum System
 In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
1250.00
Buy It Now
$125.50 Shipping
Condition: Used
Location: Billings, United States
$
280.49
Buy It Now
$12.00 Shipping
Condition: New – Open box
Location: Apache Junction, United States
WHAT IS PICTURED IS WHAT YOU WILL RECEIVE. (MWUPGR5 X4).
$
1500.00
Buy It Now
$98.47 Shipping
Condition: New
Location: Billings, United States
Expertech SVG THERMCO. 150mm Wafer Carrier. 160 Slot Oxide. Quartz 6 Rail Boat.
$
525.00
Buy It Now
$11.65 Shipping
Condition: New
Location: Gilbert, United States
AMAT 0021-20874 300mm Spacer Wafer Lift Preclean. Condition is New. Shipped with FedEx Ground or FedEx Home Delivery.
$
355.06
Buy It Now
Free Shipping
Condition: New – Open box
Location: Albuquerque, United States
Part No: 109801002. The physical condition is great. 150mm Platen.
$
100.00
Buy It Now
$45.61 Shipping
Condition: New – Open box
Location: Gilroy, United States
This Entegris A190-60MH-0215 Wafer Carrier 150mm w/ Handle appears to be new and unused, still sealed in plastic, but there are a few tears in the thin ... moreplastic bag. "The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies.
$
469.99
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Boise, United States
_gsrx_vers_1480 (GS 9.1.1 (1480)).
$
160.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
LOGAN TECHNOLOGIES 2547602424.
$
1000.00
Buy It Now
$114.94 Shipping
Condition: For parts or not working
Location: Gilroy, United States
95020 (408) 886-3700. "The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies.
$
9.00
Buy It Now
$7.50 Shipping
Condition: New – Open box
Location: Milpitas, United States
New Varian Wafer Clip (20 PER PKG).
$
469.99
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Boise, United States
We have thousands of s emiconductor fab parts and assemblies in our inventory.
$
818.99
Buy It Now
Free Shipping
Condition: Used
Location: Boise, United States
We have thousands of s emiconductor fab parts and assemblies in our inventory.
$
469.99
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Boise, United States
$
200.00
Buy It Now
$20.00 Shipping
Condition: Used
Location: Billings, United States
$
975.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
P/N 6002200274. MRC Materials Research CORP 100 MM WAFER PALLET ASSEMBLY.
$
1670.97
Buy It Now
$14.62 Shipping
Condition: Used
Location: Boise, United States
2. CONDITION: USED Powered by The free listing tool. List your items fast and easy and manage your active items.
$
410.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0020-09911 MXP Sputter Etch Carrier is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. MXP CARRIER. Part No: 0020-09911.
$
120.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: Temple, United States
Entegris, 200mm Wafer Carrier CASSETTE BOX. LOGAN TECHNOLOGIES 2547602424.
$
469.99
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Boise, United States
All pictures are of actual item for sale.
$
169.99
Buy It Now
Free Shipping
Condition: Used
Location: Boise, United States
Sold as shown, removed from a AMAT Wafer Inspection Machine. We have thousands of s emiconductor fab parts and assemblies in our inventory. All pictures ... moreare of actual item for sale.
$
769.99
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Boise, United States
All pictures are of actual item for sale.
$
300.00
Buy It Now
$25.00 Shipping
Condition: For parts or not working
Location: Gilroy, United States
However, I have no way of testing it, and it is being sold as-is. No software, power cords, or other accessories are included unless stated above.
$
169.99
Buy It Now
Free Shipping
Condition: Used
Location: Boise, United States
Sold as shown, removed from a AMAT Wafer Inspection Machine. We have thousands of s emiconductor fab parts and assemblies in our inventory. All pictures ... moreare of actual item for sale.
$
249.99
Buy It Now
Free Shipping
Condition: Used
Location: Phoenix, United States
Auer Precision PN: 227519 8" 25 Wafer Aluminum Cassette. The Unit's Part Number Reads: 227519. These cassettes are in good condition.
$
818.99
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Boise, United States
We have thousands of s emiconductor fab parts and assemblies in our inventory. All pictures are of actual item for sale.
$
2489.99
Buy It Now
Free Shipping
Condition: Used
Location: Boise, United States
_gsrx_vers_1480 (GS 9.1.1 (1480)).
$
818.99
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Boise, United States
We have thousands of s emiconductor fab parts and assemblies in our inventory.
$
909.23
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0010-10036 200mm Wafer Susceptor is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. SUSC ASSY, 200MM DCS-READY. Serial numbers or country of manufacture may vary.
$
636.68
Buy It Now
$10.52 Shipping
Condition: Used
Location: Boise, United States
(See Hours of Operation, above). Novellus C-1. Gasonics L3510 Gasonics PEP-3510. Brooks/Equipe/PRI (For AG Associates 4100, KLA UV1250, KLA UV1280, Thermawave ... more2600, 3290,5240 and others. ).
$
135.00
Buy It Now
Free Shipping
Condition: New – Open box
Location: San Jose, United States
NEW (10) KULICKE SOFFA. DICING WHEEL. Used on silicon wafers.
$
3900.00
Buy It Now
$75.00 Shipping
Condition: Used
Location: Billings, United States
$
95.00
Buy It Now
$10.52 Shipping
Condition: Used
Location: Boise, United States
(See Hours of Operation, above). Gasonics L3510 Gasonics PEP-3510.
$
189.98
Buy It Now
$9.99 Shipping
Condition: For parts or not working
Location: Goffstown, United States
$
99.99
Buy It Now
$7.65 Shipping
Condition: Used
Location: Garden Grove, United States
$
169.99
Buy It Now
Free Shipping
Condition: Used
Location: Boise, United States
Sold as shown, removed from a AMAT Wafer Inspection Machine. We have thousands of s emiconductor fab parts and assemblies in our inventory.
$
1000.00
Buy It Now
$114.94 Shipping
Condition: For parts or not working
Location: Gilroy, United States
95020 (408) 886-3700. "The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies.
$
301.20
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The wafer is not marked with a part number. The physical condition is good, but there are signs of previous use and handling. Serial numbers or country ... moreof manufacture may vary.
$
349.99
Buy It Now
$35.57 Shipping
Condition: Used
Location: Phoenix, United States
Specifications are from manufacturer and may vary slightly due to upgrades, options, or revisions this unit may or may not have. The Item may vary from ... morepicture as manufacture may change model appearance.
$
199.00
Buy It Now
$149.00 Shipping
Condition: Used
Location: Singapore
Cash and Carry for Local Sale only. Cancellation Fees. Local GST for Singapore Sales: 9%.
$
999.00
Buy It Now
$99.49 Shipping
Condition: Used
Location: Santa Barbara, United States
Here for your consideration is One ATN Microwave Inc. NP5 Wafer Probe Test Kit.This unit came out of a working environment and was used as little as one ... moremonth prior.I powered it up to show that it does power up and have done no further tests.I recently acquired this and many other high quality items from the Cobham Defense Electronics Facility Liquidation.All of these items came from a very clean sterile working environment and were used sparingly so buy with confidence.Please check out my other auctions relating to this type of equipment.Please check out the pics and if you have any questions please feel free to email me thanx PLEASE FEEL FREE TO MAKE OFFERS ON THESE COMPONENTS! PLEASE CHECK OUT MY OTHER RELATED ITEMS!
$
188.00
Buy It Now
$24.05 Shipping
Condition: Used
Location: San Jose, United States
YOU ARE BUYING ON ONE SVG 99-80266-01 REV M STATION CPU BOARD PC PCB STATION CONTROLLER CARD. THIS WAS REMOVED FROM A WORKING TOOL AND IS GUARANTEED NOT ... moreD.O.A. IF YOU HAVE ANY QUESTIONS PLEASE CALL 480-200-0156. OR HIT ASK THE SELLER A QUESTION. G1GC) International Buyers Please Note: Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding or buying. We do not mark merchandise values below value or mark items as"gifts" US and International government regulations prohibit such behavior. Check out my other items! Be sure to add me to your favorites list!
$
2489.99
Buy It Now
Free Shipping
Condition: Used
Location: Boise, United States
Lens Color Wheel Assembly with motorized shift, sold as lot, as shown. IDPR-RL IDPR-LL 1951934 Removed from a AMAT Compass Complus Wafer Inspection Machine. ... moreWe have thousands of s emiconductor fab parts and assemblies in our inventory.
$
4550.00
Buy It Now
$199.95 Shipping
Condition: New
Location: Richardson, United States
This component is new-in-box.
$
719.99
Buy It Now
Free Shipping
Condition: Used
Location: Boise, United States
We have thousands of s emiconductor fab parts and assemblies in our inventory.
$
2489.99
Buy It Now
Free Shipping
Condition: Used
Location: Boise, United States
_gsrx_vers_1480 (GS 9.1.1 (1480)).
$
2499.99
Buy It Now
$109.99 Shipping
Condition: Used
Location: Boise, United States
All pictures are of actual item for sale.
$
200.00
Buy It Now
$108.77 Shipping
Condition: New – Open box
Location: Gilroy, United States
This lot includes pair of Entegris KA202-8SHH-47C02 Wafer Carrier 200mm w/ High Handle, as shown. Also, one of the has a chipped corner, as shown. 95020 ... more(408) 886-3700.
$
679.99
Buy It Now
Free Shipping
Condition: Used
Location: Boise, United States
We have thousands of s emiconductor fab parts and assemblies in our inventory. All pictures are of actual item for sale.
$
602.24
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Part No: E18282480. The physical condition is good, but there are signs of previous use and handling. Serial numbers or country of manufacture may vary. ... morePart No: E18282460.
$
298.00
Buy It Now
Free Shipping
Condition: New
Location: San Leandro, United States
Composition Density g/cm3 Thermal Conductivity W/(M.K) Thermal Expansion Rate (10-6/K).
$
1799.99
Buy It Now
Condition: Used
Location: Leander, United States
For 450mm wafer FOUP. (1) Brooks Automation 450 Vision Load Port. Manufacturer: Brooks Automation. Model: 450 Vision. Model:450 Vision. CDN Systems LLC, ... moreDBA Doug Deals, is not an authorized distributor or affiliated with the manufacturer of this product.
$
1000.00
Buy It Now
$124.94 Shipping
Condition: For parts or not working
Location: Gilroy, United States
95020 (408) 886-3700. "The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies.
$
219.99
Buy It Now
Free Shipping
Condition: Used
Location: Boise, United States
Sold as shown, removed from a AMAT Wafer Inspection Machine. We have thousands of s emiconductor fab parts and assemblies in our inventory. All pictures ... moreare of actual item for sale.
$
2489.99
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Boise, United States
Removed from a AMAT Compass Complus Wafer Inspection Machine. Looks like the actual color wheel has been removed from this item. We have thousands of ... mores emiconductor fab parts and assemblies in our inventory.
$
1400.00
Buy It Now
Free Shipping
Condition: New
Location: Jasper, United States
Applied Materials AMAT 0010-70271 Endura Assy 101 Wafer Lift W/Certificate of compliance . Free shipping
$
6499.99
Buy It Now
Condition: For parts or not working
Location: Phoenix, United States
Equipe Technology/PRI/Brooks Automation VAC-409-CHAIN Vacuum Wafer Transfer Robot For rebuild! If you don't see it, you probably won't get it. This unit ... morewas a spare from the parts room at a semiconductor fab.
$
369.99
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Boise, United States
_gsrx_vers_1480 (GS 9.1.1 (1480)). All pictures are of actual item for sale.
$
349.99
Buy It Now
$30.57 Shipping
Condition: For parts or not working
Location: Phoenix, United States
Specifications are from manufacturer and may vary slightly due to upgrades, options, or revisions this unit may or may not have. The Item may vary from ... morepicture as manufacture may change model appearance.
$
1299.99
Buy It Now
$28.94 Shipping
Condition: Used
Location: Phoenix, United States
TEL/ Tokyo Electro Wafer Transfer Unit w/ Harmonic Gear: UHG100-5B Vexta: A3461-9415HGE Terms of Sale Systech. Inc. provides a 14-Day After Receipt of ... moreProduct Warranty unless otherwise indicated. Buyer’s identified defective product shall be returned to Systech at Buyer’s expense. Buyer is responsible for obtaining return authorization from Seller. Full refund shall be issued after receipt. Inspection and serial number verification. Refund includes shipping paid outbound from Systech. Due to cost of administration. Purchased products returned due to no longer needed or Buyer purchased error shall be assessed 15% Unit Price) Restock Fee. Buyer is responsible for obtaining return authorization. Shipping paid outbound from Systech is not refundable. Systech ships via USPS and FedEx(Ground and Express) FOB Phoenix. Please contact Systech prior to paying for product if Express shipping is required. Buyer has option to select alternate transport carrier at Buyer’s expense. Buyer must arrange alternate shipping carrier. Acceptable Payment Methods are: PayPal; Visa; MasterCard or American Express. Credit Card payment is only accepted for domestic ship to locations. Unless otherwise approved by Systech. Local Pick up is acceptable. Applicable tax applies. We thank you in advance for shopping our Store and look forward to continued business with you. Powered by The free listing tool. List your items fast and easy and manage your active items. di,RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bishhov%3C%3Edi-14bca973b9d-0xfd-
$
6995.00
Buy It Now
$37.85 Shipping
Condition: New
Location: Gilroy, United States
Introducing the AMAT P/N 0190-14999 300MM MAX EMISSOMETER HIGH EMISSIVITY WAFER, a brand new item from Applied Materials. This product is perfect for ... morethose in the Tool & Machine Components, Semiconductor & PCB Manufacturing Equipment, CNC, Metalworking & Manufacturing, and Business & Industrial industries. With its high emissivity wafer, this product guarantees top-notch quality and performance. Its 300MM max size ensures that it can handle a wide range of tasks, making it a versatile addition to any workplace. Purchase now and experience the difference that the AMAT P/N 0190-14999 300MM MAX EMISSOMETER HIGH EMISSIVITY WAFER can bring to your business!
1 2 3 4 5 6 7 8
 In 

Former Listings  
 
Verteq GF Single Wafer 16Bit IO Pump Network/Valve/Robot/Motor Driver Controller
Search our eBay Store! Verteq GF Single Wafer 16Bit IO Pump Network/Valve/Robot/Motor Driver Controller SKU: JV-ANT-C-VERT16BIT Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Verteq GF Single Wafer 16Bit IO Pump Network/Valve/Robot/Motor Driver Controller Model: Unknown Power: 12VDC In Physical Condition: Good. Minor scratches/scuffs present on unit. Other minor signs of previous use present on unit. We do not have the necessary power resources in our facility to test this unit. Dimensions(L" W" H" 7*13.125*5.625 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT ... moreREQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If
AMAT 0010-40155 Assy Wafer Detector Sensor With and Without Bracket (Lot of 3)
Applied Materials 0010-40155 Assembly. Wafer Detector Sensor for Buffer Station. Lot of 3 Cuttler-Hammer Comet Series: 13104RS3162 One mounted to bracket. Two not on brackets. Tested! Check out my! M1A2D. 3j.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bij%7Fil7g%3E3j-13e2237ae26-0xfc-
AMAT 0040-03144 Plastic Wafer Tank Assy & 0090-00151 SRD Wafer Sensor Emitter
Applied Materials: 0040-03144 Wafer Tank Assembly. 0090-00151- SRD Wafer Sensor Emitter installed in tank assy. Looks like new. Unused surplus. Some marks from handling. Check out my! EBRNWUL. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bi%3Ahtpqto%3E%7B-13e2237af26-0xff-
Genmark Automation LARGE Robot System Motion Controller Wafer Transfer Handling
Search our eBay Store! Genmark Automation LARGE Robot System Motion Controller Wafer Transfer Handling SKU: JV-MAR-C-GENMARKL Condition: Used Packaging: OEM Warranty: 30-Day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Genmark Automation Large Robot System Motion Controller Wafer Transfer Handling Model: Large P/N: 9800109141UT Power Requirements: ~120/220 V; 600VA; 50/60 Hz Physical condition: Good; Minor scuffs/scratches on unit from previous use. Missing 1 rubber stand. Rear panel is all intact; Ports and connectors are all in good condition; no damage. Dimensions(L"W"H" 17 5/8 x 19 x 7 ¼ Unit powers on. Fans engage. ... moreWe do not have the necessary resources to further test this unit. Does not include power cable. PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. CA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please con
Brooks Automation Fixload 25 300mm Wafer Load Port Transfer Handling SMIF PARTS
Search our eBay Store! Brooks Automation Fixload 25 300mm Wafer Load Port Transfer Handling SMIF PARTS SKU: JV-JIM-C-FXLPARTS Condition: For parts or not working Packaging: Pallet/Skid Warranty: AS-IS FOR PARTS OR REPAIR This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are not included unless pictured or described. If in doubt, please contact us before purchasing. Click an Image to Enlarge Brooks Automation Fixload 25 300mm Wafer Load Port*FOR PARTS OR REPAIR* Model: 25 Physical Condition: Scratches and scuffs. Several large dents. We do not have the necessary resources to test this unit. Dimensions(L"W"H" 24* 18 1/2* 55 PLEASE NOTE. THE FDA DISCLAIMER BELOW IS FOR MEDICAL DEVICES/EQUIPMENT REQUIRING GOVERNMENT AUTHORIZATION: SVC ASSET MANAGEMENT. INC. MILPITAS. ... moreCA 95035(877)757-3863"The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. All parts. Accessories, cables, etc. are not included unless pictured or listed in the description. If you require more information and specifications. Please contact the manufacturer and/or research online. As a liquidation house, we're unable to test every product and have limited technical knowledge. What you see in the description is all that is provided to us. Buying in Bulk Quantity If you wish to purchase in bulk(10+) Please contact us for a combined shipping rate. If we don't have enough units listed, we may be able to acquire more. Please call us for the latest inventory updates. If you're dissatisfied with your purchase.please. Please, please contact us
Brooks Automation 002-7200-21 Wafer Load Port
You are bidding on a Brooks Automation wafer load port. PTB packs parcel shipments utilizing foam in place packaging with care. We ship heavy items(we draw the line at 100 lbs in most cases) boxed and strapped to a pallet. We will bill shipments to your shipping account or add into the invoice total. Our preferred carrier is FedEx. 626-334-0500 1331 Mountain View Circle Azusa. CA 91702
Brooks Automation 15500-01 Aligner Wafer Handler Sorting
This auction is for one Brooks Automation brand Indexer. Model 1550-01. Item was removed from an Amray Defect Review Tool. Lots of nice tinkering parts including a Hi-T Drive Harmonic Servo Actuator. Looks good but untested. Warranted No DOA. Thanks for looking and good luck bidding.
Brooks Automation FIXLOAD 25 Wafer Load Port 300mm SMIF Handler 10"
Questions? Call us: 1-877-328-9236. Brooks Automation FIXLOAD 25 Wafer Load Port 300mm SMIF Handler 10" Manufacturer: Brooks Model: FIXLOAD 25 Condition: Used Price: Cosmetic appearance may vary slightly from pictured unit(s) Manufacturer: Brooks Condition: Used. Comes in non-original packaging. This wafer load port is in excellent condition with a few very minor scuffs and scratches on the outside casing. But nothing major or anything that would affect function. There are also two severely bent mounting brackets that you can see at the bottom of picture 5 Notes: This wafer load port was removed from a working setup that was being decommissioned. But was not tested after removal as we do not have the resources to do so. No manuals, cords/cables, or additional items are included if not listed or shown. Included: 1) Brooks Automation FIXLOAD ... more25 Wafer Load Port, Specifications: Manufacturer: Brooks Automation, Model: FIXLOAD 25, Manufactured Date: 2001, Voltage: 100-240 VAC, Frequency: 50-60 Hz, Wattage: 100 W, Internal Protection: IP 20, Compressed Air: Max. 1.0MPa, Vacuum: 40kPa, Total Weight: 136 lbs. SKU: L40P020 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. This item will be shipped on a pallet via LTL Freight. Please fill out
Brooks Automation ERGO Wafer Load Port: 002-8700-01
You are bidding on a Brooks Automation ERGO wafer load port: 002-8700-01. PTB packs parcel shipments utilizing foam in place packaging with care. We ship heavy items(we draw the line at 100 lbs in most cases) boxed and strapped to a pallet. We will bill shipments to your shipping account or add into the invoice total. Our preferred carrier is FedEx. 626-334-0500 1331 Mountain View Circle Azusa. CA 91702
SVG 90S ASML SVG Wafer Spinner Cover Stainless Filter Window Fume Hood BBQ
SVG 90S ASML SVG Wafer Spinner Cover Stainless Filter Window Fume Hood BBQ These are pretty heavy units. About 60 pounds. Exhaust is vented out via front legs of unit. There is a Hy-Cal sensor unit on these but it is not included in this item as it is in a seperate listing. We have 4 of these identical units. Sorry. No international shipping on these. If interested please email to discuss. This item qualifies for eBay Fast N Free- 1 day handling and free domestic shipping Fedex Ground. AK HI to pay actual shipping. We care enough to take multiple pictures of our items for sale and all pictures are of the actual item for sale. This item is ready to be shipped upon your purchase. Please email us if you have any questions on this item. We have all of the parts from the SVG 90 Machine that was decommissioned. Please email us for any other parts ... moreyou may need. All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 14 day not DOA satisfaction guarantee. GC_gsrx_vers_486(GS 6.5.1(486)
SVG 90S ASML Silicon Valley Group Wafer Gripper Station Elevator 99-42749
SVG 90S ASML Silicon Valley Group Wafer Gripper Station Elevator 99-42749 This item qualifies for eBay Fast N Free- 1 day handling and free domestic shipping Fedex Ground. AK HI to pay actual shipping. We care enough to take multiple pictures of our items for sale and all pictures are of the actual item for sale. This item is ready to be shipped upon your purchase. Please email us if you have any questions on this item. We have all of the parts from the SVG 90 Machine that was decommissioned. Please email us for any other parts you may need. All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 14 day not DOA satisfaction guarantee. GC_gsrx_vers_486(GS 6.5.1(486)
PRI PRE-100-CE-REFURB ALIGNER, WAFER, COMBI ETCHER
ALIGNER. WAFER, COMBI ETCHER Powered by
PSI REPAIR SERVICES INC P310C-000S-ADO PRINTER PRODUCTION WAFER CARD ELTRON P3
PRINTER PRODUCTION WAFER CARD ELTRON P310- REPAIR Powered by
ASML 4001-5670-05-REFURB SNAP-ON RETICAL POD WAFER HANDLER
SNAP-ON RETICAL POD WAFER HANDLER Powered by
Nova Scan NovaScan 153-10000-01 Wafer Handling Fixture AMAT
Nova Scan 153-10000-010 Wafer Clamping Fixture. As is. Some parts may be missing? What is in photos is what you get. If you have any questions or want additional information please ask a question. Check out my! EBREWS. Powered by The free listing tool. List your items fast and easy and manage your active items.
DAS Dynamic Automated Systems ROB 300 Series Wafer Transfer Robot, S/N 2601001W
You are bidding on a DAS Dynamic Automated Systems wafer transfer robot. Serial# 2601001W. PTB packs parcel shipments utilizing foam in place packaging with care. We ship heavy items(we draw the line at 100 lbs in most cases) boxed and strapped to a pallet. We will bill shipments to your shipping account or add into the invoice total. Our preferred carrier is FedEx. 626-334-0500 1331 Mountain View Circle Azusa. CA 91702
NEAT linear Stage Wafer Inspection XYZ CNC Robitics LASER Ultrapointe KLA Tencor
Normal 0 MicrosoftInternetExplorer4 NEAT: New England Affiliated Technologies 8” Wafer Stage 3 Axis stage with extra piezo actuator on Z This is a complete XYZ stage from Neat which was later bought by Danaher Motion. NEAT 330UP controller is also included with power cord and serial cable. This was originally used in Ultrapointe Wafer inspection machines. It comes with the Neat controller. All cablings, hard copy(if requested at the time of payment) and soft copy of the user’s manual and programming guide. You can either talk to this unit through RS-232 or the limited jog option available on the front panel, also you can attach it to Joystick, I have matching joystick, if you need one please let me know to list it as a separate item. The XY motion is 8.25”x8.25” The resolution for XY are I believe 0.25 micron per step. The Z motion is about ... more0.5” The resolution is 0.1 micron per step. The RS-232 communications is possible either through terminal or any application with RS-232(C. C++, C# Matlab, etc) I can send you a sample Matlab code that I used to generate the videos and you can take it from there. I can also help you through email or phone to get it up and running. It needs 220V to power up as standard. If you would like 110V version. You need to specify at the time of purchase so we re-wire the internal transformer. This item is in great working condition; please see the video below for the actual item. youtube Video1 youtube Video2 youtube Video3 This item will be professionally packed and shipped; the buyer is responsible for shipping charges. Please let me know if you have any questions. We accept all offers that make sense and a whole bunch that don't! Each bid is for 1 of this item. Each item purchased here is one piece less sitting in the landfill Bid with confidence Click here to checkout my other items for nice stuff in motion control. Robotics, CNC, etc TERMS AND CONDITIONS: 1- Payment must be received within 10 days of the end of auction. 2- PAYPAL: We will accept and ship only if the tra
Asyst Technologies EG-300B-012 Wafer Aligner
Good used Spare.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2Bd0f%3E-13efcc8d4da-0x102-
Kulicke & Soffa 775 3-Axis Silicon Wafer Dicing Saw K&S
Kulicke& Soffa 775 Wafer Dicing Saw Up for auction is this used Kulicke& Soffa 775 Wafer Dicing Saw. It is a bit older and has been used normally and is overall in pretty fair physical condition. It has the few scuffs and scratches and a few of the plastic parts are cracked or partially broken. The one motor on the side has been unmounted and it looks like where the motor connects is partially damaged. Also a few connection cables have been cut. See photos below for more details. Specifications: Brand: Kulicke& Soffa Made in: Israel Type: 775 Voltage: 210V Power: 3000VA Serial# 783 Current: 15A Frequency: 50/60 Hz Unfortuntely. Not long after we received the unit, the unit fell over on it's back side due to a bad pallet. We have retaken photos showing the damage. We have not been able to hook this saw up to be able to test ... moreit out and I doubt we will be able to. The wide ribbon cable has been cut as well as a couple of the other wires/cables that goes from the saw to the computer cabinet. There are also a few other cables that were just disconnected. So they should be able to just be reconnected. The inside of the control box looks decent, I didn't really see anything stripped from it, but see photos to make a decision for yourself. Beyond the few things mentioned I didn't really see any major issues with the saw. As mentioned before. We have not been able to test it out so it will be sold completely as-is. Feel free to ask any questions and thanks for bidding. This item is too large and delicate to ship with UPS Ground. As such. Buyer has the option of either having the item shipped via freight, or picking it up in person from our warehouse here in Virginia. Be aware that if shipped freight, buyer assumes all shipping and packaging costs. Please contact us with ALL of the following information for a shipping estimate: Your zip code. Whether it will be going to a commercial or residential address, and if you have a loading dock or fork-lift. ATTENTION INTERNATIONAL BIDDERS: Import duties. T
LAM Research 839-019090-374 ESC Tunable, Coul, Center RF 300mm/12" Wafer Chuck
Questions? Call us: 1-877-328-9236. LAM Research 839-019090-374 ESC Tunable. Coul, Center RF 300mm/12" Wafer Chuck. Manufacturer: LAM Research Model: 839-019090-374 Condition: Used Price: Cosmetic appearance may vary slightly from pictured unit(s) Manufacturer: LAM Research Condition: Used. Comes in factory original packaging. This ESC is in good condition with some signs of use. But nothing too major. In picture 5, the arrow is pointing at a little discolored blotch on the surface of the ESC. There are some other blotches on the surface, but none of them any worse than what is in picture 5. Notes: This ESC was pulled from a facility that was shutting down a project. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) LAM Research 839-019090-374 Electro Static Chuck(ESC) Specifications: Manufacturer: ... moreLAM Research, Model: 839-019090-374, Description: Electro Static Chuck(ESC) Wafer Size: 12" 300mm) For Use With: LAM Research 2300 Versys Kiyo45 Poly Etch/ Microwave Strip Systems, Packaged Weight: 15.80 lbs. Condition: Used, Quantity: 1, SKU: N23P001 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. DougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charge
Powatec 850 Semi-Automatic 5-8" Wafer Mounter w/Static Protection WM850 WM-850
Powatec 850 Semi-Automatic 5-8" Wafer Mounter w/Static Protection WM850 WM-850 Comes with what you see in the pictures. If you don't see it. You probably wont get it. Specifications are from Powatec(for type 851. Not 850) and may vary slightly due to upgrades, options, or revisions this unit may or may not have. This unit is tested and guaranteed to work. I believe the unit has the UV tape option. Film frame option, and static protection/cleaning option. Please look at all the pictures to determine this information. The Unit's Serial Number Tag Reads: Model Number: Wafer Mounter Type: 850 Serial Number: 2-2 CE Marked: Yes Link to the Datasheet: Description(For 851 but this unit is an 850 and should be similar) The unique design of the POWATEC semiautomatic Wafer Mounters Type 651 and 851 make it possible to mount sticky tape ... moreand wafers onto frames in a single pass. A special chuck. Covered with an easily exchangeable spong-like tape holds the wafer in place during mounting and absorbs contamination particles from the wafer surface. POWATEC's exclusive mounting method faciliates the void-free assembly of wafers, appliyng only low specific force. Model Sizes: Type 651 proceses wafer size up to 6" Type 851 proceses wafer size up to 8" Key Features: Single-pass mounting of sticky tape and wafer onto frame High resolution optics eliminate theta error Alphanumeric LCD display for user guidence wafer 9 wafer specifics settings can be stored Fractions of wafers can be processed Low operating cost Conforms to IEC 204-1 safety standards UPH. Mounted wafers/h 80-90 pcs, Options: Film frame conversion kit(I believe the unit has this as there is what looks like a K&S style film frame in the accessory box) Automatic unit for winding up the cover tape of the UV tape(I'm not sure how to tell if it has this option) PTW) Protection Tape Winder(I'm not sure how to tell if it has this option) Active protection against static charges(this is definitely an included option) Wafer mounter support t
FESTO MFHE-3-1-4-B CONTROL, WAFER CHUCK SPE
this item is used but only for 20 hours
SVG 90S Pneumatic Wafer Loader Assembly 99-54233-01 ASML Silicon Valley Group
SVG 90S Pneumatic Wafer Loader Assembly 99-54233-01 ASML Silicon Valley Group This item qualifies for eBay Fast N Free- 1 day handling and free domestic shipping with FEDEX GROUND. AK HI to pay actual shipping. We care enough to take multiple pictures of our items for sale and all pictures are of the actual item for sale. This item is ready to be shipped upon your purchase. Please email us if you have any questions on this item. We have all of the parts from the SVG 90 Machine that was decommissioned. Please email us for any other parts you may need. All pictures are of actual item for sale. This item was working inventory at a large semi-conductor manufacturer and is sold with a 14 day not DOA satisfaction guarantee. GC_gsrx_vers_486(GS 6.5.1(486) 2p5ddv.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B26rk%3E2p5ddv-13f2bffaa66-0xf8-
MECS UTC800P/UTC-800P Wafer Handling Robot Arm and Controller
MECS UTC800P/UTC-800P Wafer Handling Robot Arm and Controller USED- Seller tested good.
FAITH ENTERPRISES TEST MODULE-REFURB TEST MODULE, WAFER/CARRIER STATUS
TEST MODULE. WAFER/CARRIER STATUS Powered by;1?RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d72f%2B%3E%3B1%3F-13f33e92233-0x101-
ASML 4001-5670-02-REFURB SCREWED-ON RETICAL POD WAFER HANDLER
SCREWED-ON RETICAL POD WAFER HANDLER Powered by
KENSINGTON LABORATORIES WFH3B-REFURB TT/ LR/ FF Wafer Handling Robot
TT/ LR/ FF Wafer Handling Robot Powered by
AMAT 0040-09212-REFURB LIFT, WAFER CERAMIC ASSY
LIFT. WAFER CERAMIC ASSY Powered by
AMAT 0200-35424-REFURB LIFT, WAFER 8" POLY QUARTZ
LIFT. WAFER 8" POLY QUARTZ Powered by
TOHO KASEI CO LTD 249254-A-REFURB Wafer Lift Comb, MGD
Wafer Lift Comb. MGD Powered by
AMAT 0100-20069-REFURB OBS,PCB WAFER ORIENTER
OBS.PCB WAFER ORIENTER Powered by
AMAT 0010-40155-REFURB SENSOR, WAFER BUFFER CHAMBER
SENSOR. WAFER BUFFER CHAMBER Powered by
AMAT 0140-76838-REFURB HARNESS ASSY,I/O WAFER SENSOR
HARNESS ASSY.I/O WAFER SENSOR Powered by
AMAT 0010-20472 Assy Wafer Sensor Monolith Banner SM312CV2-36355 w/bracket
Applied Materials 0010-20472 Assy Wafer Sensor Monolith. With mounting bracket. Banner SM312CV2-36355 Looks like unused surplus. No marks on screw mounting surfaces. Check out my! M1A2C.
Brooks Automation 200mm wafer vacuum transport chamber w/ robot and aligner
This unit was part of an Aviza Celsior ALD system which was recently decommissioned and dismantled. The system was put together in 2007 and was only lightly used. All of the components on this chamber are in like-new condition. And it is ready to be used in a new cluster tool. Besides the chamber. There is a Brooks Automation atmospheric wafer robot arm, a wafer aligner, and a variety of vacuum gauges and valves. The cluster tool this unit came from was being used with had four process modules, and so four sides of the chamber are furnished with VAT gate valves. The unused wafer ports are covered with polycarbonate windows. This chamber is very heavy. And my current best guess for the weight is approximately 3000 lbs. I will get a better estimate for shipping before the auction ends. The buyer will need to arrange their own freight shipping.
AMAT 0010-70283 HP Robot Hub Wafer robot
Applied Materials: 0010-70283 HP Robot Hub. Some marks form handling. Arms move freely. As is. Check out my! EBR. O`7.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B6mwimiu%3Eo%607-13ff48b08f5-0xff-
TDK TAS-MAIN Rev. 6.10 Circuit Board FOUP Wafer Load Port PCB TASMAIN
Questions? Call us: 1-877-328-9236. TDK TAS-MAIN Rev. 6.10 Circuit Board FOUP Wafer Load Port PCB TASMAIN. Manufacturer: TDK Model: TAS-MAIN Condition: Used Price: The photos show the exact item(s) the buyer will receive. Manufacturer: TDK Condition: Used. Comes in non-original packaging. This unit is in Good cosmetic condition. Notes: We are not able to test this part. No manuals. Cords/cables, or additional items are included if not listed or shown. Included: 1) TDK TAS-MAIN board, Specifications: Manufacturer: TDK, TAS-MAIN circuit board, Rev. 6.10, For TAS FOUP, SKU: N28D020 For questions regarding this item. Please reference the SKU to help us better serve you. Questions? Give us a call. 877) 328-9236 or(512) 933-0081 Monday to Friday 8:30 am- 5:30 pm CST. Se habla espanol! DougDeals is an ISO 14001 and OHSAS 18001 registered company. ... moreDougDeals Terms and Conditions DougDeals Terms of Use. By bidding. Purchasing via Buy-It-Now or submitting a Best Offer you agree to the DougDeals terms of use located at: Payment. Paypal Orders are shipped to the confirmed PayPal address. DougDeals accepts PayPal from select countries. Visa. Mastercard, Discover, American Express Orders are shipped to the card holder’s billing address. A signed is required for orders over $1.000. DougDeals directly accepts US and Canadian issued credit cards only. DougDeals accepts international cards via PayPal. Shipping. Free Shipping is to the contiguous 48 states only. AK. HI, and territories will be charged actual shipping costs. Please contact us for a quote. Shipping to APO/FPO/PO Box may or may not be available. Please contact us before purchasing to confirm. DougDeals does not ship on buyer accounts or allow the buyer to arrange shipping. Shipment must be arranged through us and will be prepaid to us by the buyer. Local pick up is available for buyers and their direct employees. Warranty. This item is guaranteed to be as described. The buyer must notify us within 14 days of receipt if there is an issue. Returns for any rea
Applied Materials 0010-10188 Wafer Lift BWCVD Precision 5000, 471-TW
Applied Materials: 0010-10188 Wafer Lift BWCVD Precision 5000 Sn: 04096-13 Very clean. No scuffing around attaching holes. Check out my! M1B1G.
AMAT 0090-76058-REFURB ELECT ASSY,ENHANCED WAFER ORIENTER
ELECT ASSY.ENHANCED WAFER ORIENTER Powered by
LAM Research ICS-2470713 LAM 9600 CERAMIC WAFER CLAMP 2MM EXCLUSION
LAM 9600 CERAMIC WAFER CLAMP 2MM EXCLUSION Powered by
Brooks Automation Wafer Transfer Robot 002-0000-02 MagnaTran
Manufacturer: Brooks Automation, Part Number: Model: 002-0000-02, Notes: Sold as-is. As pictured. Includes no additional cables. Cards or accessories beyond what is pictured. If you need any further information about this item. Please ask any questions prior to purchase. ims.22437 loc.94-G:RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28e013%3F%3E%3A-14011155dca-0xff-
Hama Laboratories DD-50 Wafer Laser Mapper Robot Sensor Working
Description The Hama Laboratories wafer mapping sensor is in good. Working condition. There are some minor scratches from previous use. It has a 4 pin connector. Shipping Information I ship quickly with USPS. I also use UPS or FedEx for larger items. For international shipping. Please contact me for a quote. Return Policy If you are not satisfied with your purchase. Please contact me first and I will resolve the issue. For a refund, please send the item back. Buyer pays for return shipping. Thank you. Froo www.froo.com | Froo Cross Sell. Free Cross Sell, Cross promote, eBay Marketing, eBay listing Apps, eBay Apps, eBay Application.
Brooks 200 mm Gemini Express Cluster Vacuum Chamber w/ wafer robot and aligner
This unit is a Brooks Gemini Express AFE 6000 Bridge Cluster Tool Platform It is equipped with an AcuTran 7 atmospheric robot and an AcuLine 7 aligner. The system also has Series 031 MONOVAT classic pneumatic gate valves. This unit was part of an Aviza Celsior ALD system which was recently decommissioned and dismantled. The system was put together in 2007 and was only lightly used. All of the components on this chamber are in like-new condition. And it is ready to be used in a new cluster tool. Besides the chamber. There is a Brooks Automation atmospheric wafer robot arm, a wafer aligner, and a variety of vacuum gauges and valves. The cluster tool this unit came from was being used with had four process modules, and so four sides of the chamber are furnished with VAT gate valves. The unused wafer ports are covered with polycarbonate windows. ... moreThis chamber is very heavy and the total weight is approximately 3500 lbs. The buyer will need to arrange their own freight shipping.
KENSINGTON 4000B CONTROLLER, WAFER HANDLING ROBOT (CHECK REAR BOARD CONFIGURAT
CONTROLLER. WAFER HANDLING ROBOT(CHECK REAR BOARD CONFIGURATION) Powered by
TRIKON 156998 RING - WAFER LIFT (FXP)
RING- WAFER LIFT(FXP) Powered by.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf%60%60%3E-1407f84de2a-0x105-
BOAT, 125 SLOT 200MM WAFER QTZ - REPAIR 100-00086R
BOAT. 125 SLOT 200MM WAFER QTZ Powered by
BROOKS 002-8700-01 BROOKS EFEM WAFER LOAD CASSETTE MODEL
BROOKS EFEM WAFER LOAD CASSETTE MODEL Powered by 336.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3E336-1407fc7c748-0x107-
Asyst / MECS SB-700 Teach Pendant Control Wafer Robot Controller
Asyst/ MECS SB-700 Teach Pendant Control Two(2) Available! May as well get two! These look very well kept. May be unused. One is marked Asyst and the other MECS. But they look identical and have the same model number(SB-700) Guaranteed in excellent. Working condition. 30-day warranty. Terms of Sale Buyer pays $12 shipping + $4 each additional(continental US) HI. AK, PR and Canada pay $22 shipping + $14 each additional. Paypal usually ships within 24 hours. International bidders(non-Canadian) PLEASE contact us before bidding. Thanks for your interest. Please email with any questions.
SEMITOOL 5000R0-572-01 LOCKDOWN III WAFER ROTOR FOR SST
LOCKDOWN III WAFER ROTOR FOR SST Powered by
FORTREND F-8225 WAFER TRANSFER HANDLER
Fortrend F-8225 8" 200mm 25 Wafer Transfer System! functionality unverified! WE SHIP WORLDWIDE ASK FOR INDIVIDUAL SHIPPING COSTS Sale Details: Condition: AS-IS. No warranty. Regarding freight: Smaller items will be sent by Post service or parcel service. For big and heavy items please contact us and your shipping agency in a timely manner. Thank you. Please note: This article is mainly appointed for commercial bidders/buyers. The price for these article is therefore the net price without value added tax(VAT) For non-commercial bidders/buyers in the EU(European Union) and commercial buyers in the EU that not own a UID-Number has to be added the legal value added tax(VAT) The prices in the listing therefore increase about the legal Austrian value added tax rate(20% Cu stomers should contact us to agree shipping charges. Only items that ... moreare pictured or mentioned in the description are included. For multiple listed items: If there is a pictured serial number it is not necessarily the one that will be sent. But the item condition is the same. Hours of Operation: Office Hours: Monday– Thursday: 8:00 am– 4:30 pm Central European Time(CET) Friday: 8:00 am– 2:30 pm Central European Time(CET) Our office is closed Saturday. Sunday and all Austrian holidays. Sale Terms and Conditions: Only the pictured and mentioned items are included in the listing. If anything is not pictured or mentioned in the description it is not included. Please place a bid only if you are serious about purchasing the item. If we(the sellers) are unable to establish contact with the winning bidder within 7 days of the end of the auction or selling or if payment is not received within 14 days, the item(s) will be re-listed at our discretion. Payment Information: Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days. Payment arrangements can be made only after call back. Payments can be made via bank transfer. Cash upon pickup, PayPal. If not mentionend.
AMAT 0021-13243 HOUSING, WAFER ROLLER MEGASONIC TANK, WORKING
AMAT 0021-13243 HOUSING. WAFER ROLLER MEGASONIC TANK, WORKING* Item Description] 13-00806 Manufacturer: AMAT part number: 0021-13243 Description: HOUSING. WAFER ROLLER MEGASONIC TANK Condition: USED. WORKING =================================================== CONDITION Being sold as is. SHIPPING We will ship your order within 2-3 business days via Fedex international economy. It will usually take 5-10 business days after shipment. PAYMENT Payment must be received prior to shipping by Paypal only. RETURN POLICY Due to the nature of electronic components we are not able to offer a warranty or accept returns. Actual Picture of the item is provided. What you see in the picture(s) is what you get. If there is anything wrong with the item that you have purchased. Please do not leave negative feedback and do not open any disputes with eBay or PayPal. ... moreJust contact us directly and we will fix the problem quickly.
MECS UTC820Z WAFER HANDLING ROBOT/CONTROLLER/CERAMIC HAND
MECS UTC820Z WAFER HANDLING ROBOT/CONTROLLER/CERAMIC HAND Removed from HITACHI S-8820 Scanning Electron Microscope. Controller Label shows 100A. But tag indicates UTC820Z. Tested full operation before removal. Quality Surplus for the Scientific Community"
MECS OF250 WAFER ORIENTATION ALIGNER/CONTROLLER
MECS OF250 WAFER ORIENTATION ALIGNER/CONTROLLER Removed from HITACHI S-8820 Scanning Electron Microscope. Tested full operation before removal. Quality Surplus for the Scientific Community" 336.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3E336-14383bad433-0x105-
Reichert-Jung POLYLITE SC Wafer Inspection Microscope, 100W, 110-240V, 47-63Hz
Wafer Inspection Microscope. 100W, 110-240V, 47-63Hz Powered by
Reichert 7111 stage wafer inspection 4 Reichert, elevator
stage wafer inspection 4 Reichert. Elevator Powered by 2p5ddv.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B26rk%3E2p5ddv-14396dcfcc2-0x100-
Varian extrion division H0809-1 Wafer Counter
Varian extrion division H0809-1 Wafer Counter Sold as is! No return! No refund! No warranty!
Teflon End Effector Wafer Carrier, 200mm Wafers -- Outstanding Condition
Teflon End Effector Wafer Carrier. 200mm Wafers- Outstanding Condition, Superior Construction. Check Out: Purchase: Making purchases is easy and simple. To place an order for procurement. Please click the“Buy It Now” button. Follow to the next page for payment instructions. Payment: Click the“Pay Now” button at the top of the E-Bay page to proceed with the checkout and payment process. Payment must be made within 5 days of purchase. Accepted Forms: We accept PayPal. Or you may pay on your credit card via PayPal. When paying via PayPal. Payments must include your shipping address and should be submitted via the E-bay payment process. Sales Tax: For California customers. Sales tax is added and depends upon your location. If you are tax exempt. Please provide a copy of your Tax Exempt Certificate prior to check out. Please do not send payment ... morebefore receiving a revised invoice. Shipping: Shipping rates are the responsibility of the customer and are not refundable. Rates include handling. Packaging materials, label scanning and tracking information. Shipping rates are based upon the weight and dimensions of the package and your location. If we have packaging materials for smaller items. We include packaging and handling at no charge. If they are unavailable, we charge our cost. For larger capital equipment, please contact us for crating costs and exact weight prior to shipment as the crate will add weight to the tool set. Insurance: Should you prefer insurance on your item. Please inform us PRIOR to check out so this may be added to the shipping cost. Insurance is not added unless specifically requested by the buyer. Processing Time: Once payment has cleared. We make every effort to ship your item within 1-2 business days. Larger capital equipment which requires crating may require a longer time to build the crate. Freight Shipment: Freight Shipment is the responsibility of the buyer. Although we will do everything possible to assist you with this process. There may be rigging. Crating or palletizing cha
KLA 8100 Plate Wafer P/N 731-08507-004
31616 Up for sale is this KLA 8100 wafer plate. KLA P/N: 731-08507-004 Please accept our price or make an offer. These cables are currently located in our Trim. Ireland warehouse. Thanks for looking and happy bidding! Manufacturer: KLA Model: 8100 Type: Plate Wafer P/N 731-08507-004 Version: Vintage: Jan 2001 Quantity: 2 Comments:
Verteq Single Wafer 16Bit IO Pump Network Valve Robot Motor Driver Controller #2
Search our eBay Store! Verteq Single Wafer 16Bit IO Pump Network Valve Robot Motor Driver Controller#2 SKU: JV-PEZ-C-VQ16BTWO Condition: Used Packaging: OEM Warranty: 30 day DOA Warranty This auction only comes with what is pictured or listed in the description. All parts. Accessories, cables, etc. are only included if pictured or listed in description. If in doubt, please contact us before purchasing. Click an Image to Enlarge New Page 1 Verteq Single Wafer 16Bit IO Pump Network Valve Robot Motor Driver Controller Model: NA Power: 12VDC In Physical Condition: Good. Minor scratches/scuffs present on unit. Top cover is missing(see photos) We do not have the necessary resources in our facility to test this unit. Dimensions(L" x W" x H" 6.75 x 13.125 x 4.75 All parts. Including accessories and cables are only in cluded if pictured ... moreor listed in the description. All pictures are taken in house. If you require more information. Please contact the manufacturer and/or research online. As a large liquidator, we do not carry additional information beyond what has been provided to us above. This FDA DISCLAIMER is for MEDICAL DEVICES only and not for all our products: The sale of this item may be subject to regulation by the U.S. Food and Drug Administration and state and local regulatory agencies. If so. Do not bid on this item unless you are an authorized purchaser. If the item is subject to FDA regulation, we will verify your status as an authorized purchaser of this item before shipping of the item. This unit has been used. It has been cleaned in accordance with the manufacturers guidelines by the medical facility from which we received it. Our Contact: SVC ASSET MANAGEMENT. INC. 1096 PECTEN CT MILPITAS. CA 95035 Open Monday through Friday 10AM-6PM PST(California) 1(877)757-3863 If you're dissatisfied with your purchase. Please contact us before leaving feedback or opening a case. Let us save you time& aggravation by showing you the true meaning of customer service! M-F. 10am to 6pm PST(California)
Genmark Automation 9960754 Wafer Transfer Robot
You are bidding on a Genmark Automation wafer transfer robot. PTB packs parcel shipments utilizing foam in place packaging with care. We ship heavy items(we draw the line at 100 lbs in most cases) boxed and strapped to a pallet. We will bill shipments to your shipping account or add into the invoice total. Our preferred carrier is FedEx. 626-334-0500 1331 Mountain View Circle Azusa. CA 91702
Applied Materials 0020-70343 Bracket, Motor, Rotation, Oeienter, Wafer AMAT
Applied Materials: 0020-70343 Bracket. Motor, Rotation, Oeienter, Wafer. Check out my! M3B1C.
H-Square Co. Silicon Wafer Motorized Notch Finder NFBMT18 200mm for 8" Carrier 2
Title: H-Square Co. Silicon Wafer Motorized Notch Finder NFBMT18 200mm for 8" Carrier 2 Description: This auction is for one H-Square Corp. Silicon Wafer Motorized Notch Finder Model NFBMT18 200mm/ 8" carriers/ wafers. These are not marked NFBMT18. But are identical. The only difference is the Micron Timing Resistor on the side as pictured. These are used surplus in good working condition. I have a few available. Buy more than one and save on shipping! Model allows relocation of aligned notches to any position. Battery powered(motorized) For SEMI standard notched Wafers. Compatible with most plastic standard 8" process carriers. Check out my other listings for more notch finders! Take a GOOD look at the pictures and good luck bidding! Please ask any and all questions before bidding. And I will do my best to answer them. ALL ... moreITEMS ARE SOLD AS-IS. UNLESS NOTED OTHERWISE ABOVE! PLEASE READ: I strive to provide an accurate description and complete disclosure of my selling practices. If the item does not meet your expectations please contact me prior to leaving feedback so that I may address any issues. I make every effort to ship within 3 BUSINESS days of receiving payment. My shipping costs are based on the shipping weight of the item. Insurance and the cost of packaging. Most items are shipped via FedEx ground for the price quoted above. All international shipments are by USPS Priority mail international. International bidders are responsible for all customs fees. If there is not a"buy it now" price listed on the item, it means I intend to let the auction run to completion so please do not ask me to end the auction early, that is unfair to other bidders. All my"buy it now" items also have the best offer feature, so please feel free to use it. If an item is listed as no international shipping, I will not ship it outside of the US but will ship to a US address for international bidders. Ebay is very strict on allowing sellers to remain with ebay based on their feedback and detailed
H-Square Corp. Silicon Wafer Motorized Notch Finder NFBMT18 200mm for 8" Carrier
Title: H-Square Corp. Silicon Wafer Motorized Notch Finder NFBMT18 200mm for 8" Carrier Description: This auction is for one H-Square Corp. Silicon Wafer Motorized Notch Finder Model NFBMT18 200mm/ 8" carriers/ wafers. These are used surplus in good working condition. I have a few available. Buy more than one and save on shipping! Model allows relocation of aligned notches to any position. Battery powered(motorized) For SEMI standard notched Wafers. Compatible with most plastic standard 8" process carriers. Check out my other listings for more notch finders! Take a GOOD look at the pictures and good luck bidding! Please ask any and all questions before bidding. And I will do my best to answer them. ALL ITEMS ARE SOLD AS-IS. UNLESS NOTED OTHERWISE ABOVE! PLEASE READ: I strive to provide an accurate description and complete disclosure ... moreof my selling practices. If the item does not meet your expectations please contact me prior to leaving feedback so that I may address any issues. I make every effort to ship within 3 BUSINESS days of receiving payment. My shipping costs are based on the shipping weight of the item. Insurance and the cost of packaging. Most items are shipped via FedEx ground for the price quoted above. All international shipments are by USPS Priority mail international. International bidders are responsible for all customs fees. If there is not a"buy it now" price listed on the item, it means I intend to let the auction run to completion so please do not ask me to end the auction early, that is unfair to other bidders. All my"buy it now" items also have the best offer feature, so please feel free to use it. If an item is listed as no international shipping, I will not ship it outside of the US but will ship to a US address for international bidders. Ebay is very strict on allowing sellers to remain with ebay based on their feedback and detailed seller ratings numbers. I strive to have all my buyers 100% satisfied. If you have any questions or issues after receiving y
Newport WFH4C.m TT/ LR Wafer Handling Robot
For sale Newport WFH4C.m TT/ LR Wafer Handling Robot, unit is clean in org shipping box.
Aitec Corporation Ar-wv300 Dual Arm Vacuum Wafer Handler Robot
This aitec dual arm vacuum wafer handler is used and was sitting in our store room collecting dust so here it is up for auction on eBay I do not know the condition of this unit as it was in the store room before I was hired The side cover was removed to show the inside and is included. What you see pictured is what you will receive The arms seem to move fine and nothing seems noticeably damaged. Any questions please ask This robot must be paid for via paypal within 3 days of the end of auction I will crate and deliver the robot to the nearest shipping facility for a flat fee of $150 which must be added to the end of auction payment shipping must be arranged by the buyer. Posted with
KENSINGTON LABORATORIES WFH4C : TT/ LR Wafer Handling Robot
For sale KENSINGTON LABORATORIES WFH4C: TT/ LR Wafer Handling Robot. unit is clean in org shipping box. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*a4%601gc%3E-144b59dd737-0xfc-
MIRRA AMAT 0021-79484 Dual Blade Wafer Robot Plastic cover Brackets (Lot of 3)
MIRRA. Applied Mateirals: 0021-79484 Dual Blade Wafer Robot Plastic cover Bracket. Lot of 3) Some scratches from handling. Aluminum bar. 3" wide X 1/4" thick. 7 3/8" X 9 1/4" X 4 11/16" Check out my! M4A1A 1.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28b0g%60%60%3E1-144e54614fa-0x103-
MIRRA AMAT 0021-79462 Dual Blade Wafer Robot Bracket Applied Mateirals
MIRRA. Applied Mateirals: 0021-79462 Dual Blade Wafer Robot Plastic cover Bracket. Lot of 3) Some scratches from handling. Aluminum flat bar. 1 1/2" wide X 12 7/16" long X 1/2" thick. Check out my! M4A1A
AMAT Wafer Orienter PCB 0090-76058
Used Wafer Orienter BD. Pulled out from working chamber. g.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bjw%60hquvg%3Eg-14505e88e54-0x10b-
Hama DD-50SDI Laboratory Robot Sensor Laser Module Cassette Mapping Wafer Head
Hama DD-50SDI Laboratory Robot Sensor Laser Module Cassette Mapping Wafer Head Removed from a working Robot. So there will be no issues. Lots of pictures were taken. To make sure this is what you need. Super-Size the pictures by clicking on them for better viewing. We are the LOWEST Price anywhere for a USED GENUINE Product with Free Shipping. A Great Price and of Course FREE SHIPPING! Click this Link to See our other Auctions. from this seller.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf%60%60%3E-14523f9cb73-0x110-
EMPAK XT205-01 200mm Wafer Carrier, Conductive PP; High-profile, closed side-w
200mm Wafer Carrier. Conductive PP; High-profile, closed side-wall; 26-Capacity Powered by
Generic PA182 50MB Fluoroware Wafer Boat Carrier
Fluoroware Wafer Boat Carrier Powered by
EMPAK XT202-02 200mm Wafer Carrier, PEEK; High Profile, Closed sidewall, No ha
200mm Wafer Carrier. PEEK; High Profile, Closed sidewall, No handle Powered by
TEL ACT 12 2987-455716-W1 Cassette Block Z Axis Wafer Transfer Station Working
This TEL Tokyo Electron 2987-455716-W1 is used working surplus. The physical condition is good. But there are signs of previous use and handling. Removed from a TEL Tokyo Electron ACT 12. Part No: 2987-455716-W1 NSK Linear Actuator Part No: XY-HRS030ZM105 NSK Rotation Motor Part No: JS1003FN506 Takada Control PCB Part No: FJ39EB-T010N0 Removed from TEL Tokyo Electron ACT 12 Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 36"x30"x14" 60 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available ... morefor sale. For multiple listed items. The pictured serial number, date or country of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 14 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25%
GLA GL Automation High Tech Component CHIP WAFER SORTER COUNTER Model 14 AH6
GLA Wafer Sorter 14 AH6 I'm not positive about this device but I'm pretty sure it's a wafer counter or sorter. When I switch it on. It does not seem to move or spin so I'm also not certain of it's working condition. It has an on/off switch and a fine adjustment switch. It has a piece of the handle at the top chipped off as shown but this should not affect the function in any way. It came from a high tech company in Dallas area that closed recently. Was in use as far as we know at that time. Starting it cheap and free shipping! However. PLEASE NOTE, you are buying this item"as is" with no return privilege since we have no idea if it works. Froo www.froo.com | Froo Cross Sell. Free Cross Sell, Cross promote, eBay Marketing, eBay listing Apps, eBay Apps, eBay Application. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*3A%3Cd%3Ff6%3E-1460d7f489b-0x108-
AMAT Hine Design 04300-084 WET Wafer Handling ROBOT in cell Model 8200C
Hine design Wafer Handling Wet Robot in a Work cell with Motorized ball-screw on the frame. Robot Model: 04300-084 Sn: 020568 Frame Model: 8200C As is. Check out my! LL#1.
AMAT Kensington Wafer Handler Robot Model# 25-3700-1425-07 Sn: 99-397-07 AT
Kensington Labs.300 mm Wafer Handler Robot Model: 25-3700-1425-07 Sn: 99-397-07 AT Ask a question for more information. We will ship on one of your shipping accounts. Check out my! LL. Dhep.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bishm%3Ed%7Fhep-146b54d4a05-0x10f-
Genmark Automation 9961152 Wafer Transfer Robot
Genmark 9961152 Wafer transfer robot. Used. Check out my! SER.
AMAT 0090-77203 HARNESS SHUTTLE WAFER Assy. With Wafer Present Switch
Applied Materials: 0090-77203 HARNESS SHUTTLE WAFER Present SWITCH. With Assembly. No part number on the assembly parts other than the switch. Some minor dings from handling. Switch bracket bent. Check out my! M3B2A. 336.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3E336-146b54d561c-0x107-
HITACHI CDSEM S-8820 MECS UTC820Z WAFER HANDLING ROBOT/CONTROLLER/CERAMIC HAND
HITACHI CDSEM S-8800 MECS UTC820Z WAFER HANDLING ROBOT/CONTROLLER/CERAMIC HAND Removed from HITACHI S-8820 Scanning Electron Microscope. Controller Label shows 100A. But tag indicates UTC820Z. Includes; Robot- Controller- Ceramic Hand(end effector) Tested full operation before removal and lubricated. Quality Surplus for the Scientific Community" Posted with
SVG / ASML 851-8514-007 Wafer Handler Interrupt Card
SVG/ ASML 851-8514-007 Wafer Handler Interrupt Card SVG/ ASML PN: 851-8514-007 Wafer Handler Interrupt Card
Intergen AutoAlign 456(8) 4-6" Laser Wafer Scribe/Marking Center/Marker System
Click Here. Double your traffic. Get Vendio Gallery- Now FREE! Intergen AutoAlign 456(8) Wafer Marking Center/B Comes with a manual and what you see in the pictures. If you don't see it. You probably wont get it. Specifications are from Intergen and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. This unit was removed from a university storage facility. It was donated by a large semiconductor manufacturer to them and it was never installed at their premise. It is sold as-is. Where-is, untested! The Unit's Serial Number Tag Reads: Model Number: 456(8) Serial Number: 960255 Date: 02/24/96 Power Requirements: 208 VAC. 50 A Made In: USA The Laser Module's Serial Number Tag Reads: Model Number: 355-79-01 Serial Number: 6232 Date: 02/29/96 Made In: USA Description: The AutoAlign wafer marker is ... morea high throughput. Turn-key marking system designed specifically for marking semiconductor wafers on a production basis. The system automatically handles and laser marks semiconductor wafers of various types with these diameters: 100mm(4 inch) 125mm(5 inch) 150mm(6 inch) Marking takes place on the front side of each wafer. Typically along its primary flat. A variety of character types(fonts) are available with the system, including the SEMI OCR, which is ideally suited for use with various automatic readers. Character height, width, and spacing are easily adjusted to suit different user requirements. With the basic H-bar bottom cassette configuration. System throughput is 500 to 600 wafers per hour. Cassette change-over and all other operator functions are taken into consideration to determine throughput figures. System Features: Nd:YAG Laser and Power Supply: The Laser Module is equipped with a CW pumped. AO Q-Switched Nd:YAG laser which has a wavelength of 1064 nanometers with 1.06 microns of radiation and produces up to 6 watts of average power in the TEM 00 mode of operation. Wafer Handler. Flat Aligner, and Marking Chuck: The custom integration of handler and aligner modul
Applied Materials 0010-70271 ASSY 101 WAFER LIFT AMAT
We have over 100.000 of Semiconductor Parts and Tool. INFORMATION Item: Applied Materials 0010-70271 ASSY 101 WAFER LIFT Parts Condition: OEM Used Please read the terms and conditions below before bidding. Payment method: Paypal& Wire Transfer Delivery Term: FEDEX Lead Time: 1 week after receiving cleared payment Return Policy: The item must be returned within 7days. But We do not refund shipping/handling or bank fees for returned items. The buyer is responsible for return shipping. Feel free to ask us any questions about the listed items or shipping options available. Powered by The free listing tool. List your items fast and easy and manage your active items.
Logitech 1WBT2 4" Wafer Substrate Bonding Unit/Bonder (1WBT1/1WSB2) II-VI III-V
Logitech 1WBT2 4" Wafer Substrate Bonding Unit/Bonder Comes with what you see in the pictures. If you don't see it. You probably wont get it. Specifications are from Logitech and may vary slightly due to upgrades. Options, or revisions this unit may or may not have. This unit has 2 of the bonding stations removed but I believe it will still function as a single unit. Each bonding unit costs about $6500.00 from the manufacturer to replace. The Unit's Serial Number Tag Reads: Model Number: 1WBT2 Serial Number: 32-08-99 Power Requirements: 110 V. 60 A, Single Phase, 13 A Link to the Datasheet: Link to the Manual: Key Features: Automated process cycle minimizes operator input Excellent wafer to support disc parallelism Touch button control of bonding parameters Bubble free bond 4" 102 mm) wafer capacity Single or multiple wafer ... morecapacity(technically this unit is a single unit cause the other 2 stations are removed) Description: Bonding techniques for processing thin and fragile II-VI and III-V semiconductor wafers such as silicon. GaAs and InP require delicate handling procedures. Laboratories bonding such materials need to maintain the highest quality of sample yield and minimize breakage of these expensive materials in wafer preparation process. The Logitech Wafer Substrate Bonding Unit has been designed to meet such stringent requirements. This highly automated machine incorporates both vacuum and pressure bonding facilities. It allows the operator to mount and bond up to three part or whole wafers up to a diameter of 4" 102 mm) prior to further processing. The system produces consistently high standards of wafers to support disc parallelism. Irrespective of whether one large wafer or a number of smaller wafers of differing thickness are being mounted and bonded. Touch button control of the process display on the machine's front panel allows all process parameters to be accurately controlled. This includes a programmable bonding temperature and vacuum to produce the required environment f
0100-76038 AMAT ASSY, PCB, WAFER MAP LED BOARD
0100-76038 AMAT ASSY. PCB, WAFER MAP LED BOARD Inventory#20037 This is a genuine AMAT part and is in Used condition. Specifications: AMAT PN 0100-76038- SN# Sales Conditions: USED OEM- Item(s) are shown as pictured above. 30-Day Warranty HOURS OF OPERATION: Office Hours: Monday- Friday: 9:00 AM- 6:00 PM Pacific Standard Time(PST) Delivery Hours: 10:00 AM- 4:00 PM Pacific Standard Time(PST) Closed: Saturday. Sunday and all major US holidays. SALE TERMS AND CONDITIONS: Only the pictured items are included in the listing. If it is not pictured or mentioned in the description it is not included. Place a bid only if you are serious about purchasing the item. If we(the sellers) are unable to establish contact with the winning bidder within 7 days of the end of the auction or if payment is not received within 7 days, the item(s) will be relisted ... moreat our discretion. PAYMENT INFORMATION: Payments are expected within 48 hours. Please contact us if payment can not be made within four days. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) A 9.25% Sales tax applies to California residents or provide a resale tax ID for our records. We will not process the order until we receive sales tax or a copy of your tax ID. SAME DAY SHIPPING POLICY: If you would like us to ship your item at the same day as your purchase. You can call us by 12:00 Noon, Pacific Standard Time at 408-526-1020. We will do our best to ship your order out the same day. There will be a 15% expediting fee that will be charged. SHIPPING DETAILS: All items will be packaged or palletized and shipped via the best and most economical way as possible. Shipping and handling times can be vary based on the packing requirements and location accordingly. Please allow us up to 5 business days for handling time. All tracking information is forwarded to the email address associated with your PayPal or eBay account. 1. DOMESTIC SHIPPING- Contact name. Phone number and company name are required fo