In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
183.70
Buy It Now
$12.66 Shipping
Condition: Used
Location: Ventura, United States
Click here to see description.
$
326.70
Buy It Now
$100.00 Shipping
Condition: Used
Location: Ventura, United States
Drytek S100 Wafer Power System w Jennings RB2A-26N00 Relay& Cardwell Parts(RF) Drytek S100 Wafer RF Power System w Jennings RB2A-26N00 Relay& ... moreCardwell Parts Jennings RB2A-26N00 Glass Relay 20kVDC Cardwell 229-207-2 Roller Inductor Coil Cardwell 154-3-1 Air Variable Capacitor QTY = 4: High Energy Corp. HECHT50 120pf Ceramic Capacitors QTY = 1: High Energy Corp. CRC850 Surge Suppressor Aluminum plate dimensions: 6.0" x 6.25" This unit was pulled from a Drytek 100S Plasma Wafer Etcher Overall dimensions: 18.5" x 17" x 13.5" 45 LBS, If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48459
$
2549.80
Buy It Now
$600.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Tegal Corp 803 Inline Automatic Wafer RF Plasma Etcher Untested As Is Description Tegal Corporation 803 Inline Inline Automatic Wafter RF Plasma Etcher ... moreUntested As Is Many Useful Parts Cover removed in photos to show component views. This tool is heavy. Nearly 400 pounds, and will require freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Corp Manufacturer Part Number 803 Item/Ship Weight 350 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42622 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still sho
$
3000.80
Buy It Now
$600.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Tegal Corp 803 Inline Automatic Wafer RF Plasma Etcher Untested As Is Description Tegal Corporation 803 Inline Inline Automatic Wafter RF Plasma Etcher ... moreUntested As Is Many Useful Parts Cover removed in photos to show component views. This tool is heavy. Nearly 400 pounds, and will require freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Corp Manufacturer Part Number 803 Item/Ship Weight 350 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42622 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still sho
$
25.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
3505.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi 7200 Etcher VME Micro Computer Assembly is used working surplus. The physical condition is good. But there are signs of use and some scuffs ... moreand scratches from previous use and handling. Part No: VME Micro Computer Ebrain Bus Rack Enclosure 012163 Installed Cards Motorola Part No: 162-262 Hitachi Part No: VMPM-02N Hitachi Part No: I0TC-02N Removed from a Hitachi 7200 Etcher Tool Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 18"x18"x18" 26 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number, date or country of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 3 RL0 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A
$
1200.00
Buy It Now
$70.35 Shipping
Condition: Used
Location: Morgan Hill, United States
We provide fully refurbished Matrix 303 for Plasma Etcher. We also provide refurbished Matrix 105 Plasma Asher Descum Systems. Installation and training ... moreand warranty are optional at extra charge. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
$
32000.00
Buy It Now
Condition: Used
Location: Switzerland
STS / CPX Multiplex is a high-precision etcher-asher designed for the production of high quality microelectronic systems. CPX Multiplex has a 4-axis robotic ... morearm that can position the substrate at any angle, and the laser beam can be directed with precision.
$
115000.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
Capable of sequential and simultaneous deposition of up to two targets at once. Substrates are loaded automatically by the load lock robot. Manual operation ... morealso available. RF Plasma Cleaning for conditioning substrates prior to deposition.
$
501.55
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
They are in good physical condition but there are signs of previous use and handling. Part No: MLXS-D12-670-3. These products are subject to export restrictions ... moreunder U.S. law.
$
650.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
All are in the photos. No other staff are included.Used. not tested. We sell it at as is, where is . No warranty.no return.Subject to prior sale.Contact ... moreus by email if you have any questions.
$
18548.75
Buy It Now
Condition: Seller refurbished
Location: North Billerica, United States
New. Never used, Branson IPC quartz chamber, removed from stock. Tube length 19.75 in. width 10.25 in. Overall length 21.25 in. Four gas ports. Posted ... morewith
$
120.00
Buy It Now
$119.22 Shipping
Condition: New
Location: Saint-Égrève, France
Applied Materials 0200-09199 Tube. Plasma Quartz( New; lot of 5) We ship domestically and International. This items is available for local pick up or ... morebuyer pays actual shipping costs using the buyers UPS or Fed Ex account number only. 1) We prefer PayPal to all new customers. 2) We prefer a company check or cashier check to established customer's. 3) International orders over $500.00 we require a wire transfer. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2Bf54%3E-146a9d5b046-0x10e-
$
646.80
Buy It Now
$400.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Click here to see description.
$
225.00
Buy It Now
$18.40 Shipping
Condition: New – Open box
Location: Carpinteria, United States
Tegal 81-007-138. System was de-installed in working operational condition. WARRANTY IS NULL VOID IF ANY ITEM IS TAMPERED WITH, OPENED OR DISASSEMBLED. ... moreIF THERE ARE ANY PROBLEMS WITH THE ITEM DO NOT TRY TO REPAIR AND INVESTIGATE.
$
13250.00
Buy It Now
Condition: Used
Location: Scotts Valley, United States
$
7425.00
Buy It Now
$700.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
Click here to see description.
$
275.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
Used. Not tested. We sell it at as is, where is . No warranty.no return.ID-DV-2-8/9ID-DV-3-1/2ID-DU-3-11Photos are for one piece only. Other items do ... morenot have photos. The model number is same . Subject to prior sale.Contact us by email if you have any questions.
$
14500.00
Buy It Now
Free Shipping
Condition: Used
Location: Richardson, United States
$
2004.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Plasma-Therm Clusterlock 7000 PM RIE Reactive Ion Etching System. The physical condition is good, but there are signs of previous use and ... morehandling. Part No: 714. Axiom Single Board Computer SBC Part No: SSC-486VGA.
$
2750.00
Buy It Now
$95.28 Shipping
Condition: Used
Location: Morgan Hill, United States
Subject to prior sale without notice.
$
275.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
MKS Baratron 122AA-00010DB, 10 torr, From Tegal 901e 903e Plasma Etcher ID-DV-2-10-002All are in the photos. No other staff are included.Used. not tested. ... moreWe sell it at as is, where is . No warranty.no return.Subject to prior sale.Contact us by email if you have any questions.
$
275.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
MKS Baratron 122AA-00010AB, 10 torr, From Tegal 901e 903e Plasma Etcher ID-DV-2-10-003All are in the photos. No other staff are included.Used. not tested. ... moreWe sell it at as is, where is . No warranty.no return.Subject to prior sale.Contact us by email if you have any questions.
$
275.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
Used. Not tested. We sell it at as is, where is . No warranty.no return.ID-DU-3-7Photos are for all. Price is only for one piece.Subject to prior sale.Contact ... moreus by email if you have any questions.
$
9.00
Buy It Now
Condition: New – Open box
Location: Morgan Hill, United States
PN TGL-39-687-002 (?). Tegal Spare Parts.
$
4000.00
6d 11h 9m 22s
0 bids
Condition: For parts or not working
Location: Gilroy, United States
The March and ENI units power up fine, and their digital displays are bright and clear, but I could not get them to do much.
$
211.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
These Lam Research 839-011516-001 Upper Chamber Assembly Parts are used, working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. They were removed from a LAM Research Rainbow 4420 Etcher.
$
21500.00
Buy It Now
Condition: Used
Location: Freehold, United States
Batch system for plasma cleaning or etching. Not standard horizontal mounting. Two gas inputs. Can accommodate multiple shelves.
$
139.00
Buy It Now
$87.39 Shipping
Condition: For parts or not working
Location: Gainesville, United States
(Set of 3): Silicon Wafer Semiconductor CVD Processing Turret Stainless Steel Rotisserie Apparatus, Machine, Processor. Three units, sold as one set. ... moreTwo appear to have CVD deposition heads (maybe that's what they are).
$
1216.60
Buy It Now
$600.00 Shipping
Condition: For parts or not working
Location: Ventura, United States
LFE Corporation PFS/PCrE/PDS-501 ICP Barrel Type Plasma Chrome Etch System Description LFE Corporation PFS/PCrE/PDS-501 ICP Barrel Type Plasma Chrome ... moreEtch System diameter of interior barrel: 13 1/2" This item requires freight shipping please contact us for a quote prior to purchasing. Manufacturer LFE Corporation Manufacturer Part Number PFS/PCrE/PDS-501 Item/Ship Weight 282 LBS Tech Condition This item is used and has been tested to be in good working condition. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item may require palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 44794 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showing USPS options even though the package
$
79000.00
Buy It Now
Condition: For parts or not working
Location: Carpinteria, United States
The Surface Technology Systems Multiplex range of plasma systems combine a single wafer or batch vacuum load lock with STS etch plasma source to produce ... morea platform of unrivaled quality and reliability for both R&D and pilot-production applications.
$
79000.00
Buy It Now
Condition: For parts or not working
Location: Carpinteria, United States
Surface Technology Systems (STS) Multiplex Reactive Ion Etcher (RIE) 01 The Surface Technology Systems Multiplex range of plasma systems combine a single ... morewafer or batch vacuum load lock with STS etch plasma source to produce a platform of unrivaled quality and reliability for both R&D and pilot-production applications.
$
1250.00
Buy It Now
$105.84 Shipping
Condition: Seller refurbished
Location: Morgan Hill, United States
Tegal 915 RF Generator RF Plasma Products Model T-502E 500w CR1087-20101RW For Plasma Barrel Etcher Asher Location: Morgan Hill,CA95037 USA. 3A1-suite ... more5Valid Time: Subject to prior sale. This item is only for end userNo warranty no refund!!
$
1.50
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
PN TGL-39-689-001 Tegal Spare Parts.
$
2299.00
Buy It Now
Free Shipping
Condition: Used
Location: Singapore
Model: Apex 1513. LAM Part No.: 660-032596-014. Make: Advanced Energy (AE). AE Part No.: 3156110-114. Higher power density. This unit is used, untested ... moreand in good physical condition (with few minor scratches/scuffs from handling).
$
4012.19
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
This Tegal 701 Inline Automatic Wafer RF Plasma Etcher is used surplus and is being sold as-is. The unit does power on and and all features appear to ... morework but no further testing could be completed and is being sold as-is.
$
2150.00
Buy It Now
$86.57 Shipping
Condition: Seller refurbished
Location: Morgan Hill, United States
Tegal 915 RF Matching With 5623301 99-214-003RW For Plasma Barrel Etcher Asher Location: Morgan Hill,CA95037 USA. 3A1-suite 5Valid Time: Subject to prior ... moresale. This item is only for end userNo warranty no refund!!
$
200.00
Buy It Now
$131.09 Shipping
Condition: For parts or not working
Location: Gilroy, United States
The Eimac tubes light up, as shown. 95020 (408) 886-3700. "The sale of this item may be subject to regulation by the U.S. Food and Drug Administration ... moreand state and local regulatory agencies.
$
129000.00
Buy It Now
Condition: Used
Location: Carpinteria, United States
The Fusion Microlite 200PC Photostabilization system uses a special process to harden and impact high-temperature stability to photoresist materials on ... moresemiconductor wafers. Photostabilized wafers are capable of withstanding high temperature hard baking.
$
8800.00
Buy It Now
Condition: Used
Location: Scotts Valley, United States
$
3012.19
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
Tegal 803 Inline Automatic Wafer RF Plasma Etcher As Is for Parts or Repair Description Tegal 803 Inline Automatic Wafer RF Plasma Etcher As Is for Parts ... moreor Repair RF Power Supply Intact Cover removed in photos to show component views. This tool is heavy. Nearly 400 pounds, and will require freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Manufacturer Part Number 803 Item/Ship Weight 370 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42618 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showin
$
125.00
Buy It Now
$53.96 Shipping
Condition: Used
Location: Morgan Hill, United States
Subject to prior sale without notice.
$
2004.19
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a Plasma-Therm Clusterlock 7000 PM RIE Reactive Ion Etching System. The physical condition is good, but there are signs of previous use and ... morehandling. Part No: 714. Axiom Single Board Computer SBC Part No: SSC-486VGA.
$
36.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
190.00
Buy It Now
Condition: New – Open box
Location: Morgan Hill, United States
$
8739.35
Buy It Now
$243.42 Shipping
Condition: Used
Location: Canada
$
250.00
Buy It Now
Condition: New – Open box
Location: Morgan Hill, United States
$
5.00
Buy It Now
$23.56 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
250.00
Buy It Now
Condition: New – Open box
Location: Morgan Hill, United States
$
21.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
455.00
Buy It Now
$20.13 Shipping
Condition: Used
Location: Morgan Hill, United States
Lam Research recipe module Condition: Used. We didn’t test it. We sell it at as is, where is without warranty.Return policy: no return.The photos were ... morefrom the real item. All are in the photos.Pls do not buy this item if you do not accept our terms. Appreciate your time!Related equipment: Lam Research Lam autoetch 490 590 690 790 plasma etcher equipment ?Location: B6-1-2-Master
$
45.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
455.00
Buy It Now
$20.13 Shipping
Condition: Used
Location: Morgan Hill, United States
Lam Research recipe module Condition: Used. We didn’t test it. We sell it at as is, where is without warranty.Return policy: no return.The photos were ... morefrom the real item. All are in the photos.Pls do not buy this item if you do not accept our terms. Appreciate your time!Related equipment: Lam Research Lam autoetch 490 590 690 790 plasma etcher equipment ?Location: B6-1-2-Recipe
$
55000.00
Buy It Now
Condition: Seller refurbished
Location: Freehold, United States
Vacuum load lock with wafer transfer robot. Can process wafers from 2” to 8” depending on which process kit is installed. High frequency RF-based inductively ... morecoupled plasma source capable of high density plasma generation.
$
1256.07
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Hitachi M-511E Wafer Cassette Ergo Loader is used working surplus. The physical condition is great, but there are signs of previous use and handling. ... moreRemoved from a Hitachi M-511E Microwave Plasma Etching System.
$
4700.00
Buy It Now
$200.00 Shipping
Condition: Used
Location: State College, United States
It will remove the contaminations and promote adhesion with other materials (before sputtering electrode or lamination).
$
64.90
Buy It Now
$12.66 Shipping
Condition: Used
Location: Ventura, United States
Drytek LED/Control Board for 100S Plasma Wafer Etcher 2800054 C-2800051(2800052) Drytek 2800052 LED/Control Board for 100S Plasma Wafer Etcher 2800054 ... moreC-2800051 Part number: 2800052 Assembly number: 2800054 Schematic number: C-2800051 This unit was pulled from a Drytek 100S Plasma Wafer Etcher If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48421 643.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d71f%2B%3E643-14741e27ad5-0x10d-
$
23500.00
Buy It Now
Condition: Used
Location: Palisades Park, United States
Plasma 901e Tegal Etcher With Manual. SOLD AS SEEN IN PICTURES! Not tested. Cassette to Cassette Poly/Nitride Plasma Etcher*Easy to use menu driven control*Input ... moregases controlled by MFC. Up to 4 MFC with system*Non-friction spatula wafer transport- Power requirement: 208 VAC 25A 1 PH- S/N: CU50134- Item# CU9000-50134-Volt: 200/208 VAC- Curr. 30 Amps- Freq. 50/60 Hz- Wires: 2+ ground- Wfg: 11/1999- m eter reads: 5081-RCTN Chamber item No. CC1106-01302 NOTE: We do not know the wafer size of the unit. If you know a way to tell. Please let us know. Specifics Below are off the internet: This is only to help. We do not know if this is exactly what we have. Please ask questions. Wafer Size Capacity: 3.4,5,6 inch Cassette To Cassette In-line Single Wafer Plasma Etcher.Capability to etch vias and contacts with anisotropic or sloped profiles.For etching silicon dioxide. Silicon nitrides, and polyimides.Can handle wafers from up to 6 in. Microprocessor control.208 V, 50/60 Hz. Tegal 901e series Plasma/RIE etch System are used by the Semiconductor Industry for integrated circuit fabrication. The system are used in one part of the sequence of manufacturing steps that transfer a pattern formed from a layer of photosensitive material, the photoresist, to a layer that makes up a permanent part of the final device. The process of defining a pattern with photoresist known as photolithography, while the etch process transfers the photoresist pattern to the permanent layer.Tegal 900 Series systems deliver highly reliable, repeatable results in etching a variety of films used to manufacture semiconductor, telecommunications and optoelectronics devices, flat panel displays and thin film magnetic heads.Tegal 901e,are designed around a production-proven wafer transport design that can accommodate 75 mm to 150 mm round silicon, GaAs, InP, and dielectric material substrates. The transport can also be configured to accommodate rectangular substrates up to 125 mm on a side. Typical Applications for Tegal 901e:1. Nitr
$
14500.00
Buy It Now
$42.81 Shipping
Condition: Seller refurbished
Location: Allen, United States
Can be used as stand-alone (portable unit) or integrate into AMAT etcher.
$
45.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
1 2 3 4 5 6 7 8 9 10 11
 In 

Former Listings  
 
Drytek/Lam 384T Plasma Etcher Modgraph 9" Super VGA Color Monitor, 3000377
Drytek/ Modgraph 9" Color Monitor for 384T Plasma Etcher. NEW Drytek# 3000377. Modgraph Mg-3930. It will ship Fedx ground. So please provide a phone# Shipping price quoted is for lower 48 states US Customers only. Available for inspection. Logan Technologies. LP 254-773-4070
Lam Research Rainbow 4500 Plasma Etcher
LAM Rainbow 4500 with Envision. Hine indexers, and BAC. MFCs cleaned and calibrated. Sold as-is
TEGAL PLASMA 903e Plasma Etcher with ENI ACG-10B RF Generator
PLEASE REFER TO PICTURES. Unit does not come with accessories unless noted in description. TEGAL PLASMA 903e Plasma Etcher with ENI ACG-10B RF Generator Monitor& Keyboard Condition: USED. PULLED FROM A WORKING ENVIRONMENT Estimated Packed Shipping Weight: Unit will ship by freight or is available for local pickup. Notice Regarding Freight: Please wait for an invoice with shipping charges. Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 14-Day Satisfaction Guarantee(See Details Below) Shipping Notice: Additional shipping charges may apply; pending location. Size and weight of the item(s) Lister: DL Can't find the answer you're looking for? contact us! Business ... moreHours: 8:00 am to 4:00 pm(PST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: Will-Call Hours: 8:00 am to 3:00 pm(PST) Monday to Friday 8:00AM- 12:00PM(PST) 12:30PM- 3:30PM(PST) Monday to Friday. Email Address: Please Use The eBay Messaging Service, Phone: 408-762-7286, Fax: 408-283-9004, Physical/Mailing Address: Prism Electronics Corp. 18305 Sutter Blvd. Morgan Hill. CA 95037 USA Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards Through PayPal. And Bank Wire Transfers in USD(There is a $25 wire transfer fee) Will-Call items must be paid in full by PayPal or cash with exact change on pickup. We cannot process the order until we receive tax from shipping address within California or a copy of your California Resale Certificate A 8.75% Sales Tax applies to all purchases in CALIFORNIA. All items will be securely packaged or palletized and shipped via the best and most economical way possible. We typically ship within 1 business day however. Und
Technics Plasma GmbH model 100-E Plasma System Etcher Cleaner
PRICED TO SELL@ $3.450.00& FREE CONTINENTAL USA Shipping Included! Please see the pictures of the actual Ebay Item. IT! Everything& Only what you see is what you will get. Be sure to add me to your! Check out my! 1369 Daviess Street Lockport.IL. 60441 Call Patrick Lind at 815-838-0134. RELIABLE COMPUTER SERVICES. Inc. 30 Years of Buying& Selling computer hardware by DEC or"Digital Equipment Corporation" Since 1985. RCS Inc. has been buying& selling computer hardware by DEC or Digital Equipment Corporation for 30 Years. Buying whole machines/sights& selling the peripherals& parts to Maintenance companies& even back to DEC. When it comes to purchasing or selling computer hardware by DEC. Call the company that delivers what they promise at the fairest market price. We will also be selling many non DEC related ... moreitems here on Ebay. We will be attending many auctions.Liquidations,surplus& bankruptcies sales here in Chicago& the Midwest area. We will be selling a wide variety of items. From printers& plotters to floor scrubbers& Oscilloscope. Tools, Antique's,Computer hardware, Copiers, motors, generators, Radios, Test& Scientific Equipment. Payment is due within 10 days of auction closing. Unless we are on vacation. Most orders ship within 1 to 3 days of receipt of a guaranteed payment. We are located in LOCKPORT IL. About 35 miles SW of Chicago IL. Most items can be inspected in our warehouse before bidding. Please call 1st& make an appointment. We are not there or available everyday. Buyers can also email or call us with any test procedures they would like us to try for them on the equipment. Send us your day time phone# we will call you while we try your procedures.Thanks Send payment to: Reliable Computer Services. Inc. 1369 Daviess Street Lockport. IL. 60441 Phone# 815-838-0134 Search words: Technics Plasma GmbH model 100-E Plasma System Etcher Cleaner.