In 
 
Order by: 
Available to: 
Price: 
 - 
eBay Affiliate Links
$
501.55
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
They are in good physical condition but there are signs of previous use and handling. Part No: MLXS-D12-670-3. These products are subject to export restrictions ... moreunder U.S. law.
$
55.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
795.00
Buy It Now
$25.74 Shipping
Condition: New
Location: Morgan Hill, United States
$
500.00
Buy It Now
Free Shipping
Condition: Used
Location: Hollister, United States
The physical condition of the board is good and clean. It was removed from a Tegal 6550 etcher system. Part#: Tegal 6550 Etcher System. These products ... moreare subject to export restrictions under U.S. law.
$
1108.12
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Lam Research 853-012350-002-F-230D Outer Gate Assembly is used working surplus. The physical condition is good, but there are signs of previous use ... moreand handling. Removed from a Lam Research 4420 Etcher.
$
60.00
Buy It Now
$23.56 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
AD2020 406, Original For TEGAL 901e Tegal 903e Tegal EtcherWarranty:N/AReturn policy: 30 day after shipping. Buyer is responsible for shipping.Location:DW-2–1
$
3012.19
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
Tegal 803 Inline Automatic Wafer RF Plasma Etcher As Is for Parts or Repair Description Tegal 803 Inline Automatic Wafer RF Plasma Etcher As Is for Parts ... moreor Repair RF Power Supply Intact Cover removed in photos to show component views. This tool is heavy. Nearly 400 pounds, and will require freight shipping. Please contact us for a quote prior to purchasing. Manufacturer Tegal Manufacturer Part Number 803 Item/Ship Weight 370 LBS Tech Condition This item has not been fully tested and is being sold as is. This product can be previewed at our facility in Ventura. Ca. during normal business hours 8am to 5pm M-F. If you have any questions or specific testing you would like done please email or call us before bidding. Please call us if you have any questions at(805) 648-3300 Photos in this listing may not show the exact item we will ship(ie. extended part numbers or revision numbers may not match) An accessory or part not shown in the photos or description is probably not included. If you have any questions please contact us before bidding. Shipping This item requires palletized freight shipping. Transportation is the responsibility of the buyer. We are happy to assist in booking transportation for you and can add that service to your order for an additional cost. Please contact us if you would like a freight shipping quote. Local pickup is encouraged. For which you will not incur an additional fee. If you have any questions, we can be reached M-F from 9am to 5pm PST at(805) 648-3300. PID 42618 ABOUT SHIPPING TO DOMESTIC OUTLYING AREAS Please note that although the shipping calculator should not offer rates to Alaska. Hawaii, Puerto Rico, etc. we can ship there. Please contact us for a quote. These locations do not qualify for FREE SHIPPING offers, so we've had to exclude them from all shipping to prevent erroneous listings. ABOUT USPS SHIPPING Please note that we have discovered a problem with the eBay Shipping calculator on items that are too large for USPS shipping guidelines. The calculator is still showin
$
125.00
Buy It Now
$23.56 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Parts For TEGAL 901E 903E plasma etcherCondition: NewPhotos for all and the price is for allWarranty:N/AReturn policy: N/ALocation:DU-1-3-1-2
$
403.08
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
Pearl Kogyo APU500 EC1 Monitor is used untested surplus.The physical condition is good, but there are signs of previous use and handling. Front toggle ... moreswitch is broken. Removed from a Hitachi M-712E Shallow Trench Etcher.
$
210.83
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. These products are subject to export restrictions under U.S. law. Serial ... morenumbers or country of manufacture may vary.
$
4012.19
Buy It Now
Free Shipping
Condition: For parts or not working
Location: Albuquerque, United States
This Tegal 701 Inline Automatic Wafer RF Plasma Etcher is used surplus and is being sold as-is. The unit does power on and and all features appear to ... morework but no further testing could be completed and is being sold as-is.
$
190.00
Buy It Now
Condition: New – Open box
Location: Morgan Hill, United States
$
25.00
Buy It Now
$23.56 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
Am27128DC 8411LPP AMD , Original For TEGAL 901e Tegal 903e Tegal EtcherWarranty:N/AReturn policy: 30 day after shipping. Buyer is responsible for shipping.Location:DW-2–1
$
12500.00
Buy It Now
Condition: Used
Location: China
The LAM Etcher is an early machine with the Generation two Controller drawer. It is complete with any upgrades and modifications added by the original ... moreowner. This machine has been given a complete frame off cleanup and restoration. The Photos show various stages of the rebuild and the major components. As can be seen from the photos the following has been completed: Main Chamber: 1. New Chamber 2. New Chamber bottom and exhaust ring 3. New lower Electrode assembly with focus ring style electrode(Boxed separately) 4. All Vacuum fixed and rotary O-rings and seals replaced 5. All Pneumatic tubing replaced as well as fittings if required. 6. All Pneumatic cylinders have been disassembled and rebuilt if they were rebuildable. 7. Upper electrode is process dependent and not supplied. Load Locks: 1. All Vacuum fixed and rotary O-rings and seals replaced 2. All Pneumatic tubing replaced as well as fittings if required. 3. All Pneumatic cylinders have been disassembled and rebuilt if they were rebuildable. 4. Wafer arms and wafer guides are size dependent and not supplied. Machine Mainframe: 1. All pneumatic tubing replaced. 2. Connectors and fittings replaced as needed. 3. Cables re-bundled and ty-wrapped 4. Frame repainted 5. All top panels re-anodized 6. Front and side panels supplied as is. In average condition but not refinished in any way. 7. Top plastic dust covers not supplied 8. Baratron head not supplied. 9. Elevators are OEM(packed separately) Gap Drive head and Automatch: Packed separately) 1. Rebuilt by Aspect systems just prior to machine being crated from original owner. 2. Front display panel and operator interface has a newer CRT. 3. RF Power supply is not supplied nor are any external cables. Gas lines, throttle valve, or vacuum fittings. 4. Electronics drawer has wiring replaced as needed. Boards tested, connectors replaced as needed. Packed separately) 5. Factory manuals are included. This machine will require some assembly to complete. Test, leak check, and configure to your process and wafer siz
$
988.00
Buy It Now
$18.00 Shipping
Condition: Used
Location: Austin, United States
This LAM Research ADIO PCB board is used, working surplus. It was removed from a LAM 4420 etcher. The physical condition is excellent!
$
750.00
Buy It Now
Free Shipping
Condition: Used
Location: Hollister, United States
This Tegal 99-389-001 B Circuit Board, is used working surplus. The physical condition of the board is good and clean. It was removed from a Tegal 6550 ... moreEtcher. System removed from: Tegal 6550 Etcher.
$
1282.50
Buy It Now
$80.13 Shipping
Condition: Used
Location: Goleta, United States
Type: SAT Spray Acid Etcher Wafer Loader. Manufacturer: Applied Process Technology. Removed from working unit. See pictures for more detail. Get Supersized ... moreImages & Free Image Hosting.
$
1.50
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
PN TGL-39-689-001 Tegal Spare Parts.
$
604.14
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition of the conditioner box is good and clean, but may have minor scratches from previous use and handling. Made In Japan.
$
455.00
Buy It Now
$20.13 Shipping
Condition: Used
Location: Morgan Hill, United States
Lam Research recipe module Condition: Used. We didn’t test it. We sell it at as is, where is without warranty.Return policy: no return.The photos were ... morefrom the real item. All are in the photos.Pls do not buy this item if you do not accept our terms. Appreciate your time!Related equipment: Lam Research Lam autoetch 490 590 690 790 plasma etcher equipment ?Location: B6-1-2-Master
$
300.00
Buy It Now
$16.40 Shipping
Condition: Used
Location: Santa Rosa, United States
Very clean and in beautiful condition as shown in the photographs. Unit should be installed by qualified professional. Notes: Clean and in practically ... moreimmaculate condition. The carrier is chosen at our discretion unless you arrange your own service.
$
11.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
455.00
Buy It Now
$20.13 Shipping
Condition: Used
Location: Morgan Hill, United States
Lam Research recipe module Condition: Used. We didn’t test it. We sell it at as is, where is without warranty.Return policy: no return.The photos were ... morefrom the real item. All are in the photos.Pls do not buy this item if you do not accept our terms. Appreciate your time!Related equipment: Lam Research Lam autoetch 490 590 690 790 plasma etcher equipment ?Location: B6-1-2-Recipe
$
12.00
Buy It Now
$23.56 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
AD7541JN 8719, Original For TEGAL 901e Tegal 903e Tegal EtcherWarranty:N/AReturn policy: 30 day after shipping. Buyer is responsible for shipping.Location:DW-2–1
$
45.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
255.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This AMAT Applied Materials 0100-70019 is used working surplus. The physical condition is good, but there are signs of previous use and handling. Removed ... morefrom a AMAT Applied Materials Precision 5000 Mark II CVD Etcher System.
$
1612.21
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. The unit is missing a side cover panel (see photos). Removed from a ... moreHitachi M-712E Shallow Trench Etcher System. Removed from a Hitachi M-712E 200mm Shallow Trench Etcher System.
$
750.00
Buy It Now
Free Shipping
Condition: Used
Location: Hollister, United States
The physical condition of the unit is good and clean. It was removed from a Tegal 6550 Etcher system. System removed from: Tegal 6550 Etcher. These products ... moreare subject to export restrictions under U.S. law.
$
1660.50
Buy It Now
$189.00 Shipping
Condition: Used
Location: Israel
This Hitachi 7200 Etcher VME Micro Computer Assembly is used working surplus. The physical condition is good. But there are signs of use and some scuffs ... moreand scratches from previous use and handling. Part No: VME Micro Computer Ebrain Bus Rack Enclosure 012163 Installed Cards Motorola Part No: 162-262 Hitachi Part No: VMPM-02N Hitachi Part No: I0TC-02N Removed from a Hitachi 7200 Etcher Tool Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 18"x18"x18" 26 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number, date or country of manufacture is not necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister 3 RL0 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A
$
950.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
MKS Baratron Type 625A-13127 , 10 torr From Tegal 901e 903e Plasma Etcher ID-DV-2-11-002All are in the photos. No other staff are included.Used. not tested. ... moreWe sell it at as is, where is . No warranty.no return.Subject to prior sale.Contact us by email if you have any questions.
$
250.00
Buy It Now
Condition: New – Open box
Location: Morgan Hill, United States
$
250.00
Buy It Now
Condition: New – Open box
Location: Morgan Hill, United States
$
120.00
Buy It Now
$16.23 Shipping
Condition: Used
Location: San Jose, United States
Inventory Code: TC-TK-4304-1.
$
204.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
Model No: TYB61L-1/ELIF. The physical condition is good, but there are signs of previous use and handling.
$
2100.00
Buy It Now
$400.00 Shipping
Condition: For parts or not working
Location: Canada
Up for sale is a used Plasmionique FLR 300-TT Plasma Coater as can be seen in the pictures. This has been tested for power on ONLY, therefore, it's sold ... moreas-is, as-described. Nothing else is included.No vacuum pump is included.
$
750.00
Buy It Now
Free Shipping
Condition: Used
Location: Hollister, United States
This Tegal 99-409-002 B Circuit Board, is used working surplus. The physical condition of the board is good and clean. It was removed from a Tegal 6550 ... moreEtcher. System removed from: Tegal 6550 Etcher.
$
1250.00
Buy It Now
$105.84 Shipping
Condition: Seller refurbished
Location: Morgan Hill, United States
Tegal 915 RF Generator RF Plasma Products Model T-502E 500w CR1087-20101RW For Plasma Barrel Etcher Asher Location: Morgan Hill,CA95037 USA. 3A1-suite ... more5Valid Time: Subject to prior sale. This item is only for end userNo warranty no refund!!
$
750.00
Buy It Now
Free Shipping
Condition: Used
Location: Hollister, United States
This Tegal 99-409-003 A Circuit Board, is used working surplus. The physical condition of the board is good and clean. It was removed from a Tegal 6550 ... moreEtcher. System removed from: Tegal 6550 Etcher.
$
8250.00
Buy It Now
Condition: For parts or not working
Location: North Billerica, United States
REACTIVE ION ETCHER. PLASMA-THERM 700 RIE SYSTEM. Plasma-Therm 700.
$
550.00
Buy It Now
$59.43 Shipping
Condition: Used
Location: Morgan Hill, United States
Wires Cables For Tegal 901e 903e Plasma Etcher Etching DQ-2-018All are in the photos.Condition: Used. Not tested.We sell it at AS IS,WHERE IS without ... morewarranty.This Item is subject to prior sale without notice.
$
211.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Lam Research 713-013236-001 Outer Gate Exit Safety Cover is used working surplus. The physical condition is good, but there are signs of previous ... moreuse and handling. Removed from a Lam Research Rainbow 4420 Etcher System.
$
2150.00
Buy It Now
$86.57 Shipping
Condition: Seller refurbished
Location: Morgan Hill, United States
Tegal 915 RF Matching With 5623301 99-214-003RW For Plasma Barrel Etcher Asher Location: Morgan Hill,CA95037 USA. 3A1-suite 5Valid Time: Subject to prior ... moresale. This item is only for end userNo warranty no refund!!
$
602.10
Buy It Now
$73.42 Shipping
Condition: Used
Location: Albuquerque, United States
Removed from a AMAT Applied Materials Precision 5000 P5000 CVD Etcher System. This AMAT Applied Materials 0030-76002 Front Bezel is used working surplus. ... moreThe physical condition is good, but there are signs of previous use and handling.
$
650.00
Buy It Now
$150.00 Shipping
Condition: Used
Location: South Korea
$
2011.15
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. Removed from an AMAT Applied Materials Precision 5000 Mark II CVD Etcher ... moreSystem. Removed from an AMAT Applied Materials Precision 5000 Mark II CVD Etcher System.
$
211.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. It was removed from a Lam Research Rainbow 4420 Etcher. System/Tool: ... moreLam Research Rainbow 4420 Etcher. Removed from an 860-010135-003 Vacuum and Facilities Installation.
$
21.12
Buy It Now
$6.16 Shipping
Condition: Used
Location: Ventura, United States
Drytek Switch Board from 100S Plasma Wafer Etcher 2800193 MLA 94V-040/83(2800194) Drytek 2800194 Switch Board for 100S Plasma Wafer Etcher 2800193 MLA ... more94V-040/83 Part number: 2800194 Assembly number: 2800193 Board number: MLA 94V-040/83 Omron MY2E-UA-DC24 Switch This unit was pulled from a Drytek 100S Plasma Wafer Etcher If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48422
$
204.17
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. These products are subject to export restrictions under U.S. law.
$
511.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
This Lam Research 852-017152-003-G Front EMO Box Assembly is used, working surplus. The physical condition is good, but there are signs of previous use ... moreand handling. It was removed from a Lam Research Rainbow 4420 Etcher.
$
250.00
Buy It Now
Free Shipping
Condition: Used
Location: Hollister, United States
The physical condition of the unit is good and clean. It was removed from a Tegal 6550 Etcher system. System removed from: Tegal 6550 Etcher. These products ... moreare subject to export restrictions under U.S. law.
$
311.11
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs of previous use and handling. It was removed from a Lam Research Rainbow 4420 Etcher. System/Tool: ... moreLam Research Rainbow 4420 Etcher. Removed from an 860-010135-003 Vacuum and Facilities Installation.
$
65.00
Buy It Now
$53.96 Shipping
Condition: Used
Location: Morgan Hill, United States
Subject to prior sale without notice.
$
89.10
Buy It Now
$11.70 Shipping
Condition: Used
Location: Ventura, United States
Drytek Solid State Driver Board Drytek 100S Plasma Wafer Etcher 2800074(2800072) Drytek 2800072 Solid State Driver Board Drytek 100S Plasma Wafer Etcher ... morePart number: 2800072 Assembly number: 2800074 Schematic number: 2800071 This unit was pulled from a Drytek 100S Plasma Wafer Etcher Dimensions: 6.5" x 6.5" x 1.5" 0.50 LBS If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48420 ec2.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3Eec2-14741e27c2d-0x109-
$
275.00
Buy It Now
$38.91 Shipping
Condition: Used
Location: Morgan Hill, United States
Used. Not tested. We sell it at as is, where is . No warranty.no return.ID-DU-3-11Photos are for all. Price is only for one piece.Subject to prior sale.Contact ... moreus by email if you have any questions.
$
2.00
Buy It Now
$40.83 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
$
750.00
Buy It Now
Free Shipping
Condition: Used
Location: Hollister, United States
The physical condition of the unit is good and clean. It was removed from a Tegal 6550 Etcher system. System removed from: Tegal 6550 Etcher. These products ... moreare subject to export restrictions under U.S. law.
$
505.16
Buy It Now
Free Shipping
Condition: Used
Location: Albuquerque, United States
The physical condition is good, but there are signs or previous use and handling. These products are subject to export restrictions under U.S. law. Made ... moreIn Japan.
$
79.20
Buy It Now
$23.00 Shipping
Condition: Used
Location: Israel
An item that has been used previously. The item may be a factory second or a new, unused item with defects. An item that does not function as intended ... moreand is not fully operational. For parts or not working.
$
50.00
Buy It Now
$23.56 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
We provide fully refurbished Tegal 901e for Plasma Etcher. We also provide refurbished Tegal 903e Plasma Etch Systems. Installation and training and warranty ... moreare optional at extra charge. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
$
50.00
Buy It Now
$23.56 Shipping
Condition: New – Open box
Location: Morgan Hill, United States
We provide fully refurbished Tegal 901e for Plasma Etcher. We also provide refurbished Tegal 903e Plasma Etch Systems. Installation and training and warranty ... moreare optional at extra charge. PLEASE NOTE: WE ARE SELLING ALL ITEMS AT"AS-IS" We have good source for the following used semiconductor equipment and New Semiconductor Equipment/ System. Please contact us by e-mail for more information.We will do our best to meet your budget. Rapid Thermal Process: AG Associates Heatpulse 610 | Heatpulse 410 | Heatpulse 210 Plasma Asher Descum: Matrix 105R | Matrix 105/205/106 | Branson IPC L3200 |Branson IPC 2000/3000/4000 | Gasonics L3510 | Gasonics Aura 1000 | Gasonics Aura 3010 | Gasonics Aura 2000LL Plasma Etch/RIE/ICP: Tegal 901e | Tegal 903e |Tegal 901e TTW | Tegal 903e TTW | Lam Rainbow 4420/4428 | Lam Rainbow 4520/4528 | Lam Rainbow 4620/4628 | Lam Rainbow 4720/4728 | Lam AutoEtch 490 | Lam AutoEtch 590 | Lam AutoEtch 690 | Lam AutoEtch 790 | Matrix 303 | Gasonics AE 2001 | STS Multiplex ICP Sputtering Deposition System: AccuSputter AW 4450 | Perkin Elmer 4450 Sputter | Perkin-Elmer 4410 | Perkin Elmer 2400 Sputter Systems Metrology and Tester: Tencor M-Gage 300 | Hitachi CD-SEM 8840 | Hitachi CD-SEM 8820 | Hitachi FE-SEM 4700 | Hitachi FE-SEM 4500 | HP 4062UX Tester | HP 4145A/B Tester | EG 2001 Wafer Probe | EG 1034 Wafer Probe Please Note: We do not arrange the shipment overseas. For international shipping. Please send us your account(FEDEX) or ask your forwarder to pick up the items after you complete the transaction on our eBay store and payment is clear. Note: We are not responsible for any shipping cost. Customs Duties. Import Taxes and fees for Orders shipped to countries outside of the United States. These fees can vary widely from country to country. Please contact your local customs office for further information. The buyer is responsible for assuring that the product can be lawfully imported to the destination country.
1 2 3 4 5 6 7 8 9 10 11 12 13
 In 

Former Listings  
 
Ideal Electric Etcher, Model 11-085, Made in USA, US GOVERNMENT Military
This is a used but working electric etcher made by Ideal Industries Inc. for the US Government. It's 120 volt 50/60 cycles AC only. I tried it out and it works on all four settings with each being stronger as you go up the scale. I guarantee it to be in working order when it arrives but can't guarantee it to last since its used. I will give you a three day guarantee after the delivery date. That sounds fair enough. So open it and use it! The dimensions are 9" tall. 6" deep and 7" wide.
Yaskawa V0SH Robot TEL Tokyo Electron Unity 2 Etcher Used Working
This Yaskawa VOSH is used working surplus. The physical condition is good. But there are some minor scratches from previous use and handling. This item was removed from TEL Unity 2 Etcher. Part No: V0SH Removed from TEL Unity 2 Etcher Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 36"x24"x24" 100 lbs. Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. ... more90-Day Satisfaction Guarantee(See Details Below) Lister 12 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way pos
ASI Conveyorized Oxide Replacment (Could be a Developer or Etcher)
Up for sale is a used ASI Conveyorized Oxide Replacement machine. This line could also be used as a Developer. Etcher or combined line with some modification. This machine was taken out of service from our PCB manufacturing facility, and has standard contactor controls, not the difficult factory controller. 24 inch wide conveyor. Line layout is Input/4' Spray Chamber/Triple Cascade Rinse/4' Flood Chamber/Double Recirculating Rinse/Single Running Rinse/Dryer/Output. Machine is 480V 3 Phase, 70Amps. This machine can be viewed under power in our facility. You can arrange to inspect this machine before bidding or purchase. All sales are final. And we do not accept returns for this item. We can assist skidding. Rigging and loading of this machine. We also have a large supply of spare parts for Coates/ASI equipment that can be purchased ... moreseparately. RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp*3A%3Cd%3Ff6%3E-14725b99459-0x10e-
Asyst 04630-004 Load Etcher Top Indexer Gasonics 94-1174 Used Working
This Asyst 04630-004 is used working surplus. The physical condition is good. But there are some minor scratches from previous use and handling. Asyst Part No: 04630-004 Gasonics Part No: 94-1174. Rev. B Hine Design PCB Part No: 02418-001 Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 36"x24"x24" 30 lbs. Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction ... moreGuarantee(See Details Below) Lister 12 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will be securely packaged or palletized and shipped via the best and most economical way possible. Ship
Gasonics Etcher Aura AA-200LL A99-008-04 AA 200LL A99 008 04 Complete
This Gasonics Aura A-200LL etcher is used working surplus. It has been decontamented. Preped for storage, and stored in a sealed barrier bag inside a crate. The system is in good phsycial conditions and is comnplete. Product No: A-2000LL Configuration: A99-008-04 Condition: Used Working. 90 Day Warranty. Estimated Packed Shipping Dimensions: L x W x H = Requires Freight Shipping Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction ... moreGuarantee(See Details Below) Lister AZ-12 Can't find the answer you're looking for? contact us! Office Hours: 8:00 am to 5:00 pm MST Monday to Friday We are closed on Weekends and all major US holidays. Email Address: sales@usedeqsales.com Phone Number: 505-345-8732, Fax Number: 505-345-8730, Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged or palletized and shipped via the best and m
HANDMADE wood BOX trinket jar CONTAINER etcher/burned FLORAL lid FOLK ART wooden
Such a beautiful example of handcrafted goods! Very simple yet prettily done. Please email with any questions! I am happy to combine shipping; just let me know! International bidders. Please inquire about shipping as the rates are not the same as domestic. Thanks for looking! Normal 0 false false false EN-US X-NONE X-NONE
Drytek 2800072 Solid State Driver Board Drytek 100S Plasma Wafer Etcher 2800074
Drytek Solid State Driver Board Drytek 100S Plasma Wafer Etcher 2800074(2800072) Drytek 2800072 Solid State Driver Board Drytek 100S Plasma Wafer Etcher Part number: 2800072 Assembly number: 2800074 Schematic number: 2800071 This unit was pulled from a Drytek 100S Plasma Wafer Etcher Dimensions: 6.5" x 6.5" x 1.5" 0.50 LBS If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48420 ec2.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fvo%7B%3Dd70f%2B%3Eec2-14741e27c2d-0x109-
Drytek 2800052 LED/Control Board for 100S Plasma Wafer Etcher 2800054 C-2800051
Drytek LED/Control Board for 100S Plasma Wafer Etcher 2800054 C-2800051(2800052) Drytek 2800052 LED/Control Board for 100S Plasma Wafer Etcher 2800054 C-2800051 Part number: 2800052 Assembly number: 2800054 Schematic number: C-2800051 This unit was pulled from a Drytek 100S Plasma Wafer Etcher If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48421 643.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d71f%2B%3E643-14741e27ad5-0x10d-
Drytek 2800194 Switch Board from 100S Plasma Wafer Etcher 2800193 MLA 94V-040/83
Drytek Switch Board from 100S Plasma Wafer Etcher 2800193 MLA 94V-040/83(2800194) Drytek 2800194 Switch Board for 100S Plasma Wafer Etcher 2800193 MLA 94V-040/83 Part number: 2800194 Assembly number: 2800193 Board number: MLA 94V-040/83 Omron MY2E-UA-DC24 Switch This unit was pulled from a Drytek 100S Plasma Wafer Etcher If you have any questions please contact us prior to bidding. Phone: 805) 648-3300, Normal business hours are 8am- 5pm PST Monday through Friday, Recycled Goods is located at: 3820 N. Ventura Avenue. Ventura, CA 93001, PID 48422
Plasma Therm Plasmatherm Unaxis VLR Etcher Versalock 700 Dry Etch
This is a good used spare tool. Buyer will be responsible for freight charges. Can be view at my warehouse by appointment. I have this tool listed elsewhere and reserve the right to end the auction early. Make an offer if you like. If you'd like to come have a look. Give us a call at the following. We are in the 95002 zip code 408.946.2315 Steve 1.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28b0g%60%60%3E1-1474bc59571-0x10f-
NICE! IDEAL 115V NO 11-081B ELECTRIC PORTABLE BENCH TOP ETCHER
Ideal Portable Electric Bench Top Etcher Catalog Model 11-081B 115 Volt 6 Amp Steel Composition Case Measures 9-1/4" x 6" x 7" H No Reserve! Check my other auctions for more quality tools and machinery. I combine shipping whenever po ssible. If you are waiting for more auctions to end- just let us know. Good Luck Bidding! Our Payment Policy: Payment MUST be received within 5 business days of auction end- unless other arrangements have been made. We accept PayPal or Cash on Pick-up We do NOT offer Buy-It-Now pricing on auction listings Our Combined Shipping Policy: We ONLY combine items purchased within the same calendar week(Monday thru Saturday) We cannot issue shipping refunds after payment has been made! To receive the combined rate you must wait to be invoiced by us- do NOT pay eBay auction end notice. I f you pay immediately ... morefollowing auction end- your item will be shipped the next business day. Our Return Policy: Items MUST be returned for any Refunds. Buyer pays return shipping. We do not cover original shipping cost. We do not offer partial refunds. Refunds will be given as money back. Items may be returned for any reason. Complete item must be returned within 14 days of the end of auction in the condition it was received for a full refund.
LAM Etcher 490/590
The LAM Etcher is an early machine with the Generation two Controller drawer. It is complete with any upgrades and modifications added by the original owner. This machine has been given a complete frame off cleanup and restoration. The Photos show various stages of the rebuild and the major components. As can be seen from the photos the following has been completed: Main Chamber: 1. New Chamber 2. New Chamber bottom and exhaust ring 3. New lower Electrode assembly with focus ring style electrode(Boxed separately) 4. All Vacuum fixed and rotary O-rings and seals replaced 5. All Pneumatic tubing replaced as well as fittings if required. 6. All Pneumatic cylinders have been disassembled and rebuilt if they were rebuildable. 7. Upper electrode is process dependent and not supplied. Load Locks: 1. All Vacuum fixed and rotary O-rings and seals ... morereplaced 2. All Pneumatic tubing replaced as well as fittings if required. 3. All Pneumatic cylinders have been disassembled and rebuilt if they were rebuildable. 4. Wafer arms and wafer guides are size dependent and not supplied. Machine Mainframe: 1. All pneumatic tubing replaced. 2. Connectors and fittings replaced as needed. 3. Cables re-bundled and ty-wrapped 4. Frame repainted 5. All top panels re-anodized 6. Front and side panels supplied as is. In average condition but not refinished in any way. 7. Top plastic dust covers not supplied 8. Baratron head not supplied. 9. Elevators are OEM(packed separately) Gap Drive head and Automatch: Packed separately) 1. Rebuilt by Aspect systems just prior to machine being crated from original owner. 2. Front display panel and operator interface has a newer CRT. 3. RF Power supply is not supplied nor are any external cables. Gas lines, throttle valve, or vacuum fittings. 4. Electronics drawer has wiring replaced as needed. Boards tested, connectors replaced as needed. Packed separately) 5. Factory manuals are included. This machine will require some assembly to complete. Test, leak check, and configure to your process and wafer siz
Applied Materials AMAT 8330/8310 8300 Series Etcher Chamber Bell Jar, Used
Applied Materials AMAT 8330/8310 8300 Series Etcher Chamber Bell Jar. Used WYSIWYG We never warranty calibration. Payment must be made within 3 days of the close of the auction. THERE IS NO PICK UP ONLY SHIPPING FOR THIS UNIT. WE WILL COMBINE SHIPPING WHEN POSSIBLE. BY BIDDING YOU CONFIRM THAT YOU HAVE READ. UNDERSTAND, AND AGREE TO OUR SALES TERMS. So be sure to email your questions before bidding. We strive for all 5 stars in Detailed Seller Ratings! by adding my eBay Store to your Favorites Payment: Payment is required with in three days of the end of the auction. We accept the following payment methods: Paypal Credit Cards up to $2500.00(AMEX. MC, VISA) from US purchases only NO WIRE TRANSFERS~NO EXCEPTIONS Colorado residents pay state sales tax. 2.9% Shipping: Please email your zip code for a shipping quote. We can only ship this unit ... moreto a verified commercial address. Please let us know if you have a forklift or will need lift gate service. You can set up your own shipping. We have loading docks and forklifts. Our Dock hours are 8am-3pm Mon-Fri and our address is 1065 Poplar, Johnstown, CO 80534. We must have a bill of lading 24 hours in advance of pick up or there will be an additional fee. The weight of the unit including packaging on the pallet is 225lbs. Great purchase for a customer on the Front Range or Great Denver area. International Buyers MUST READ BEFORE BIDDING: No international shipping Returns and Refunds: Customer pays return shipping. If you have an issue with your purchase please be sure to give us the opportunity to help you. We strive for 5 star service! Contact: We do check email often and we are generally easier/faster to get in touch with by email than by phone. If you need to contact us by phone. Amelia@ 970-219-3228 On Jul-16-09 at 17:02:37 PDT. Seller added the following information:
Handler Manufacturing Etcher Catcher, Used
Used Handler Etcher Catcher. I don't know which model, but it looks almost identical to the newest Handler Etcher Catcher 52CSU From the website: 52CSU ETCHER CATCHER. Handler’s Etcher Catcher is designed to collect dusts from aluminum oxide sandblasting; it is also excellent for handpiece grinding. Built with a larger cabinet and hinged Viewport. The 52CSU provides greater suction capacity to collect dusts in its cleanable, reusable cartridge filter. The bottom screen allows larger debris to collect on the bottom of the cabinet out of the air stream. Fluorescent light provides excellent visibility; rubber gaskets surrounding the two hand holes help keep dust contained in the cabinet. 115V/60HZ. Shipping Wgt. 17 lbs(7.3Kg) Unit Dimensions: 11” W x 16” D x 9” H(28cmx41cmx23cm) RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bfg2%3E-14776c90991-0x103-
Lam Research 490 Poly/Nitride Plasma Etcher, 6", S/N 1146
Lam Research 490 Poly/Nitride Plasma Etcher. S/n 1146 Includes ENI OEM-12 RF Generator Unit 1660-50 SCCM- O2 Unit 1660-200 SCCM SF6 Aera D980C 100 SCCM CF4 Unit 1200-200 SCCM He Not Tested. but it working when the end user deinstalled it. Sold"as is" we have no way to fully test it. No shipping"FOB our dock in Temple. Texas. Available for inspection. We'll skid at no charge. Crating is extra. We'll ship overseas. But customer must pay all shipping and crating charges. Logan Technologies. LP 254-773-4070
Epilog Mini Helix Laser Etcher
I am selling our Epilog Mini Helix Laser Etcher. Model# 8000 Manufacture date: August. 2007. 45 watt Working surface: 24 x 12. This unit was owned by my father and has only been used about 10 times. Also included is the HP Scanjet 4850 scanner that was connected to it.
Vintage Electric Feather Etcher
this is a vintage electric feather a etcher. it weighs about 5 to 6 pounds. the outside of it is made of aluminum. I don't really know much about this item. I tried to find something similar on ebay but could not find anything. I found electric etchers. But not electric feather etchers. I turned it on it seems to work. it is in very good condition
FEATHER ETCHER - Wood Burning Tool Pen Wood Carving Feather Etching Detailer
FEATHER ETCHER- GEORGETOWN HOBBIES Wood Burning Tool Pen Wood Carving Feather Etching Detailer IN VERY GOOD SHAPE
March Plasmod Plasma Cleaner Etcher 13.56 MHz & Deep Pyrex Chamber / Warranty
Payment Policy Brand New Sony CyberShot 8 MP Di March Plasmod Plasma Cleaner Etcher 13.56 MHz& Deep Pyrex Chamber/ Warranty Attention All Buyers If there is anything wrong with the item that you have purchased. Please do not leave negative feedback and do not open any disputes with eBay or PayPal. Just contact us directly and we will fix the problem quickly. Item Description March Plasmod Plasma Cleaner Etcher 13.56 MHz& Deep Pyrex Chamber/ Warranty. Used Condition- Used Condition Not Working- For Repair/ Parts Only March Plasmod Plasma Cleaner Etcher& Deep Pyrex Chamber Model: Plasmod Chamber: Pyrex. 5.9" L(15 cm) x 4.15" D(10.5 cm) RF Generator: Solid State Power Level: 0-250 Watts Output Frequency: 13.56 MHz Power: 115VAC. 50/60 Hz, 15A NOTE: this unit does not power on. As a liquidation business we do not have expertise/ ... moretools to test this unit. This auction is on an AS-IS/ Parts/ Repair basis, No Return, No Refund, please bid accordingly. Inventory Number: 4K95612-07-14 Item Condition Used Condition Not Working- For Repair/ Parts Only. No Return/ No Refund is accepted Actual Picture of the item is provided. What you see in the picture(s) is what you get Note: In Quantity Auctions. The serial number of the item that you receive may vary from the item shown above. Payment Policy 1) PayPal: We accept PayPal from all verified accounts. Note: For large payments or payments from some foreign countries we may require other electronic means of payment instead of PayPal. 2) Credit Cards: We accept credit card payments through PayPal only. 3) Checkout: All US buyers should follow eBay checkout process to receive the proper invoice. International buyers should contact us to for an update on the shipping costs before they can follow eBay checkout. 4) Sales Tax: Californian buyers pay a Sales Tax of 8.625% unless a valid Resale Certificate or Tax exemption Certificate number is remitted by email or fax prior to submitting payment. 5) Checkout: An automated Non-Paying Bidder Alert will be filed if pa
Lam Research 490 Poly/Nitride Plasma Etcher, 150 MM, S/N 1145
Lam 490 Poly/Nitride Plasma Etcher. S/n 1145 Includes ENI OEM-12 RF Generator Unit 1200 MFC's 50 SCCM- O2 200 SCCM CF4 200 SCCM SF6 200 SCCM He Powers up but is not fully Tested. Working when the end user deinstalled it. Sold"as is" We have no way to fully test it. No shipping"FOB our dock in Temple. Texas. Available for inspection. We'll skid at no charge. Crating is extra. Overseas buyers must pay all crating and shipping charges and arrange for pickup Logan Technologies. LP 254-773-4070
Painter, Etcher STEPHEN PARRISH - Book From His Library Signed
Stephen Parrish(1846-1938) Painter. Etcher. Parrish exhibiting in New York City, Boston, Philadelphia, London, Liverpool, Paris, Munich, Dresden, and Vienna. He was a member of the New York Etching Club and the Society of Painter-Etchers of London. A book from his library. The Sparrowgrass Papers. By Frederic S. Cozzens. Carleton Publisher, 1843, signed in pencil on the first title page. Normal 0 false false false EN-US X-NONE X-NONE Normal 0 false false false EN-US X-NONE X-NONE Normal 0 false false false EN-US X-NONE X-NONE Normal 0 false false false EN-US X-NONE X-NONE Normal 0 false false false EN-US X-NONE X-NONE We are full time autograph dealers. Registered with the U.A.C.C. 029) the I.A.D.A. and members of the Manuscript Society. I have been collecting and selling autographs for over 50 years. The Written Word Autographs unconditionally ... moreguarantees the authenticity of every autograph we sell. Every autograph we sell is accompanied by our certification of authenticity and our written warranty. Should an original purchaser decide to seek another opinion from a recognized authenticator who concludes that the autograph purchased from us is a forgery. Secretarial, autopen or mechanically produced example we will: refund the original purchase price, refund all postage/shipping cost and reimburse the seller for the cost of the third party opinion. Normal 0 false false false EN-US X-NONE X-NONE
1900 Print Portrait Costume Rembrandt Van Rijn Painter Dutch Etcher Artist XEW1
Home ~ Affiliations ~ Discounts ~ FAQs ~ Grading and Certification ~ Premium Shipping ~ About Us VINTAGE ART& ADVERTISING CURATED COLLECTIONS 1900 Print Portrait Costume Rembrandt Van Rijn Painter Dutch Etcher Artist XEW1 Details Year: 1900 Type: Relief Line-block Map Grade: Very Fine +++ Authentication: Dual Certificates Image: Black/ White Approximate Size(inches) 4.5 x 6.5 Approximate Size(cm) 11 x 17 Description and Condition This is an original 1900 black and white relief line-block print portrait of the Dutch Painter and Etcher. Rembrandt van Rijn. Rembrandt is considered to be one of the greatest painters and printmakers in European Art History. CONDITION This 111+ year old Item is rated Very Fine +++. Light aging in margins. No creases. No natural defects. No surface rub. No tears. No water damage. Please note: There is printing ... moreon the verso. To find other items from this specific collection in our eBay store. Please. Keywords specific to this image: Harmenszoon. Dutch Golden Age, Baroque, Portrait Painter. XEW1C00 167829_XEW1_016 September 1. 2014 Marks Our 10th Year Anniversary on eBay. Here's what you can expect: Beginning August 1. 2014 and continuing through September 30, 2014 we will offer unprecedented purchase opportunities, discounted pricing, special offers, gifts with purchase, and other unique promotions. This is our time to GIVE BACK to the eBay and world community and say THANK YOU for giving us the opportunity to serve you for 10 years. With over 110,000 customers in 40 countries, we are humbled and honored to continue to be your number one source for historical imagery, collectible antique and vintage prints, and unique ephemera. Watch our special promotions page closely, and the right margin of this listing template for these opportunities. And, see below why the world has grown to trust us as the leading source in this market and marketplace. Product Pricing& What We Deliver At times we receive inquiries about our pricing. Especially for vintage advertising or historical half
Shimadzu EI-R04M (K1) Turbo Pump Controller Hitachi M-712E Etcher used working
This Shimadzu EI-R04M(K1) vacuum pump controller is used working surplus. There are some minor scratches and scuff marks to the outer housing. Otherwise the physical condition of this unit is good and clean. This unit was pulled from a Hitachi M-712E Etcher system. System: Hitachi M-712E Etcher Part No: EI-R04M(K1) Made in Japan Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 24” x 12” x 8” 12 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is ... morenot necessarily the one that will be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ5 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizo
Monode 150 Power Unit Etcher Marking Kit 5-20V 0.0001"-0.003" knife blade etcher
Mark metal from knife blades to tools. tried this out it worked great. only reason I am selling we got a newer one. 1.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk.rp73%28b0g%60%60%3E1-147f55ab4b0-0x10c-
Monode 150 Power Unit Etcher Marking Kit- No Reserve
Monode 150 Power Unit Etcher Marking Kit- No Reserve Powered by The free listing tool. List your items fast and easy and manage your active items. 40g.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B%3E40g-1480cbb0a1c-0x108-
Air Eraser Kit Central Pneumatic Garage Air Power Tool Airbrush Style Etcher
Tested& found air adjustment screw is broke- might be fixable. Selling as is. JM-1447-T1-4. Description. Used by hobbyists and manufacturers to clean jewelry and fine parts. Remove discoloration, rust and corrosion, etch glass, or cut monograms. Easy to handle–operates like a small sand blaster without difficulty but with great accuracy, Aluminum cup with control screw, Single action button trigger, Specifications. Name Air Eraser Kit, SKU 69277, Brand Central Pneumatic, Average air consumption 4 CFM, Housing material Aluminum, Working pressure(psi) 65 PSI, Air inlet size 1/4 in-18 NPT, Product Height 3 in. Product Length 5-1/2 in. Product Width 1-1/8 in. Shipping Weight 1.70 lb. Accessories Included 5 ft. air hose. 0.5 oz capacity cup, 0.5mm nozzle, Powered by The free listing tool. List your items fast and easy and manage your active ... moreitems.
AUTOMATED PLASMA Circuit Board Plasma Etcher
Automated Plasma. Inc. Plasma Etcher Pyramid Cabinet Front Loading Six[6] Panel Self Contained AS-IS Condition Condition: Used and is being sold in*as-is* condition only! Pictures above represent the actual item! Lot includes: Item in foreground of fotos directly related to above description. Does not include anything in background of fotos. Or any tables. Fork trucks or pallets. We ship Internationally! However. Shipping or crating is not included in the listed price. Please review our*Shipping and Payment Terms* before purchasing. Purchaser has the choice of arranging for pickup at our warehouse or requesting a shipping quote thru one of our Corporate Carriers. Purchasers of our items must first complete the eBay checkout process and will be billed for shipping separately. Purchasers also have the choice of using a carrier of their choice. ... moreEither providing us with the carriers account number for direct billing or contracting the carrier themselves for pick-up. Crating charges. If necessary. Will be billed separately and shall be paid in advance- with freight charges[if any] before release of shipment. Thanks for your interest! All dollar amounts are USD and Ex Works
Ingersoll Rand IR EP50 Pneumatic Air Etcher Engraver
Ingersoll Rand EP50 pneumatic etcher/engraver. Surface corrosion damage as shown. Does not impact operation. Works well. Good tip
Martindale Electric Etcher. Utility Model. Works Great. Etch Your Tools.
Martindale electric etcher. Nice smooth heavy etching. Etch your tools. Nice used condition. Works Great. Model: utility Serial no: 3053 115 volts Any questions please ask. I will combine shipping if you buy multiple items. Please see my other auto related items and machining tools. Inserts.
Tegal Model 903e Plasma Etcher Operation / Maintenance Manual, 88-062-001
Tegal 903e Plasma Etcher Operation/ Maintenance Manual P/N 88-062-001 Used Manual will ship Fedx ground. So please included your phone# Quoted shipping price is for US customers only. We'll ship worldwide. But shipping cost will be determined at the time of purchase Logan Technologies 254-760-2424
Hitachi M-712E Etcher Interface Pre-Aligner used working
This Hitachi M-712E pre-aligner is used working surplus. There are some minor scratches to the outer housing. Otherwise the physical condition of this unit is good and clean. This unit was remove from a Hitachi M-712E Etcher system. System: Hitachi M-712E Etcher. Interface Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 18” x 18” x 18” 24 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will be sent. 90-Day Satisfaction ... moreGuarantee(See Details Below) Lister AZ5 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be securely packaged or pallet
The Luma Electric Equipment Co. Model 50 Etcher Engraver 6 Amp 120 Volt
This listing is for a The Luma Electric Equipment Co. Model 50 Etcher Engraver 6 Amp 120 Volt. Shipping price includes insurance for Domestic Shipping Only! Payment by PayPal. No International bidders! W ill try to ship within 2-3 business days after receipt of cleared payment. Please pay for item within 7 days of winning auction. Please contact me within 7 days after receipt of item if not completely satisfied.
CHICAGO PNEUMATIC VIBRO ETCHER AIR SCRIBER CP-61 (QTY 1) #55570
CHICATGO PNEUMATIC VIBRO ETCHER AIR SCRIBE CP-61 APPROX. 8' LONG HOSE WORKS WITH TAP ON BIT QTY: 1 USED; VISIBLE ETCHINGS WE OFFER COMBINED SHIPPING PLEASE WAIT FOR INVOICE Inventory#55570.
Rembrandt as an Etcher A Study of the Artist at Work White 2nd Edition Hardcover
Rembrandt as an Etcher A Study of the Artist at Work White 2nd Edition Hardcover- Christopher White- Yale University Press; 2 edition(August 11. 1999) free USPS Priority shipping Aside from some pen marks and pen underlining(see pictures) on page 6 and on pages 34-38 and a small spot of rubbing/wear on top of book(see pictures) I think the book is overall in excellent condition. Crisp pages, solid binding and nice dust jacket. This is a difficult book to find and is a great addition to any Rembrandt library. It is timely that Christopher White has chosen to revise his study of Rembrandt's etching 30 years after it was first published. This second edition of what quickly became an authoritative text incorporates critical reaction to its predecessor as well as the continuing scholarship of the Rembrandt Research Project. There have been ... more16 catalogues raisonnés of the artist's prints and innumerable exhibition publications. Making his etchings the most catalogued works of art in the world, but few other books have considered"how" as well as"what" and set the works in a historical and personal context. White, a leading authority on 17th-century Dutch and Flemish art who edited Rembrandt by Himself, is the ideal man for the job. The line"Would you like to see my etchings? has never sounded so appealing. White divides his attention into six considerable chapters: technique, history, portraiture, genre, nudes, and landscape. The most valuable, and groundbreaking, is the first, in which his explanations of Rembrandt's working methods and techniques give the illusion of peering over the artist's shoulder, such is the vividness with which details of biting, drypoint, and choice of papers come alive in his accessible and learned prose. The patient care invested in not just assembling but attractively presenting the images mirrors the attentions of the etcher, who undertakes a painstaking process with a slow-burning excitement, always with the uncertainty of the end product-somet
Luma Electric Portable Anything metal etcher-metal-knifes-tools-hot rod ID ?
we have this used Luma Electric Portable Etcher in working order-look in zoom for condition and a better view-it comes with 2 extra tip for the finer work to be done on your projects in knife/ metal/ Hot Rod ID ing work.sorry the first picture is out of focus but it is all there if you are interested let us know if you would like a better view it does have some signs of use but works good.sold as is.ask all questions before bidding.Thanks for looking. Pick up Item or shipping is available for an added cost.depends on your Location
Vtg IDEAL Industries Inc Etcher-AC Electrical Plug-in-US Government-Made USA-BIN
We Strive to EARN YOUR Trust/ Respect& Business- With YOUR Purchase/Winning Bid. Please honor our 3 DAY PAYMENT-After Auction CLOSE, rule for ALL OUR Auctions. Do NOT Procrastinate/Hesitate as this happens QUITE OFTEN as we frequent Living& Passing Estates/Retirement/Barn/Auction(s) Sales weekly and people will LATER email asking if we are going to re-list an item after it HAS SOLD. Once IT'S GONE. IT'S GONE. Today YOU are Bidding on: Vtg IDEAL Industries Inc Etcher-AC Electrical Plug-in-US Government-Made USA. Local Central Oregon ESTATE/BARN FIND. Buy it Now! No Reserve- NR- Bid to Buy It Now* ALL INT ER NATI O NAL B I DD E RS! ALL posted shipping rates are"USA"48-50 states- ONLY as listings may/may not state! IF YOU INTEND To Bid. YOU MUST EMAIL BEFORE Placing Your BID, PRIOR to BIDDING) for YOUR Shipping Rate ... moreEstimate for BIDDING/BUYING this Item. we are NOT responsible for any/all customs fee's/hold times) ALL WINNING BIDDERS- YOU MUST USE YOUR PAYPAL REGISTERED MAIL ADDRESS FOR ANY& ALL DELIVERIES. CHANGES From/Of/To YOUR REGISTERED PAYPAL DELIVERY ADDRESS WILL NOT BE ALLOWED for any& ALL purchases. If our recycled material's useage as shipping products should offend you. We recyle ALL shipping products whenever avail, we apologize now. Please don't hold it against us later in your business correspondence/feedback with us- after the sale. This helps to keep the EVER-RISING SHIPPING COSTS lower to YOU! We CANNOT be held Responsible/Accountable for 100% full ~ complete ~ Always Correct Information about an item being listed due to the wide variety(hundreds of thousands) of items. Our expertise is on LOCATION/ACQUISITION/FINDING of items. If info is missing/incomplete/incorrect. Your email/communication from your EXPERT Opinion/Information/Data- updating us of an item's CORRECT information is ALWAYS Welcomed. COMPANY INFO: Now YOU can ENJOY the" Old Fashioned Way of
ELECTRO CHEMICAL ETCHER ELECTRO-CHEM ETCH SUPERVISOR POWER UNIT ONLY MODEL #200
For your consideration I have an Electro Chem Etch Supervisor Model#200 Power unit. This is just the power unit and nothing else. no manuals, no diagrams and no other equipment) what you see in the pictures above is all there is. You will need the cables, pads/wands and chemicals(different chemicals work with different metals and alloys) to fully utilize this unit. Item is in good working condition despite its age(from the late 80's) If you are unfamiliar with the process and workings of these units please contact someone who does or go online and see the many videos and technical documentation on them. They are not that hard to learn but can be troublesome for a beginner. I have used the Marking Methods units for the past 10 years, these items are a work horse and are very handy to mark small metals parts(or large) if you don't have ... morea laser marker. You may ask general questions in regards to the workings of these items, but I will not render any support after purchase. I am assuming you are familiar with these units and by bidding on it you have a good working knowledge of such processes and workings. You can get the stencil materials, chemical solutions from Marking Methods, Electro Chem etch Metal Markings Inc. or any number of out fits. General these places like to deal with commercial operations, I don't know how they work with Joe Blow(so to speak) This item is from a non-smoking pet free environment, however is has been in a production facility so it does show its wear and shows spots of rust and marks here and there. Case is steel not aluminum. Please ask questions before bidding? There are no warranties, guarantees or returns with this item, so please ensure it meets your expectations? Thanks and good luck. MY POLICYS PAYMENT: PALPAY ONLY. Please send payment within(3) days of auction completion. If you are going to have any trouble please let me know before the(3) days are up. Otherwise I will file an unpaid item case on the fourth day. And pursue that option until payment has been rec’d.
Full Spectrum Laser 90 watt Laser cutter/etcher Pro series 24"X18" with extras
I am selling my Full Spectrum Laser Pro series 90 watt laser cutter and etcher/engraver. I bought this laser with the best intentions in mind. However never got around to using it. The laser tube has around a hour of use on it as I was playing around with it to get a feel for it. The laser includes a water chiller, air compressor, centrifugal blower(for venting exhaust outside) Two cutting surface trays(honeycomb and slates) Retina engrave 3D software Ethernet connection. Included in the package is a HEPA filtration system that allows for laser use without the need to vent the exhaust outside. $2500 retail) a rotary attachment that allows for etching on round objects like bottles. Glasses, flashlights(1500 Retail) also fine cutting lens This item is located in Las Vegas. Local pickup is ideal, however it can be shipped at the buyers arrangement ... moreand expense. It would have to go freight as it weighs a few hundred pounds. Seller is willing to deliver within 300 miles of Las Vegas for an additional 500 dollar fee.
Pearl Kogyo Monitor Unit APU500 Hitachi MU-712E Etcher working
This Pearl Kogyo APU500 monitor unit is used working surplus. The physical condition of the controller is good. But it may have scratches due to handling. It was removed from a Hitachi M-511E Etching System. Part Number: APU500 Removed From: Hitachi MU-712E Etching System Made in Japan Condition: Used. Working 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 24"x 24"x 14" 17 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that will ... morebe sent. 90-Day Satisfaction Guarantee(See Details Below) Lister Lister AZ6 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Email Address: sales@usedeqsales.com Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizo
March Instruments PLASMOD Plasma Asher/Etcher with GSM-200, WORKS
MARCH PLASMOD PLASMA ETCHER/ ASHER with GSM-200 Vacuum/ Gas controller. WORKS S/N 1214 4" Chamber. Manual Tuning Tube type. Up to 100 watts@13.56 Mhz Pump not included. Used. Available for inspection. Right of return, but intem must be returned in the condition in which left our location. Ship Fedx ground. So please included your phone# Quoted shipping price is for US customers only. Logan Technologies 254-760-2424
Hitachi MU-712E Etcher Interface Pre-Aligner used working
This Hitachi MU-712E pre-aligner is used working surplus. There are some minor scratches to the outer housing and one of the side panels is bent. Otherwise the physical condition of this unit is good and clean. This unit was removed from a Hitachi MU-712E Etcher system. System: Hitachi MU-712E Etcher Condition: Used Working. 90 Day Warranty Estimated Packed Shipping Dimensions: L x W x H = 18” x 18” x 18” 24 lbs. Notice Regarding Freight: Please wait for an invoice with actual shipping charges. PLEASE NOTE– INTERNATIONAL CUSTOMERS PAY ACTUAL SHIPPING CHARGES(See Details Below) Only items pictured are included- If a part is not pictured. Or mentioned above, then it is not included in the sale. Pictured test equipment is not included or available for sale. For multiple listed items. The pictured serial number is not necessarily the one that ... morewill be sent. 90-Day Satisfaction Guarantee(See Details Below) Lister AZ6 Can't find the answer you're looking for? contact us! Business Hours: 8:00 am to 5:00 pm(MST) Monday to Friday We are closed on Weekends and all major US holidays. Warehouse Delivery Hours: 8:30 am to 4:00 pm MST Monday to Friday Phone: 505-345-8732, Fax: 505-345-8730, Physical/Mailing Address: All items will ship from UES Arizona Facility. 4916 Hawkins Street. NE Albuquerque. New Mexico 87109 United States of America Location visits by appointment only. Payments are expected in a timely manner. Please contact us in the event that payment cannot be made within seven days as payment arrangements can be made. We accept: PayPal. All Major Credit Cards, and Bank Wire Transfers in USD(There is a $25 wire transfer fee) We cannot process the order until we receive tax from the following states NM. AZ and TX or a copy of your State Nontaxable Transaction Certificate(NTTC) A 7% Sales Tax applies to all purchases in NEW MEXICO. A 8.8% Sales Tax applies to all purchases in ARIZONA. A 8.25% Sales Tax applies to all purchases in TEXAS. All items will ship from UES Arizona Facility. All items will be
Vintage Monode 150 Power Unit Etcher Marking Methods Kit 5-20V .0001-.003" extra
A GREAT FIND.ANOTHER VINTAGE COMPLETE MARKING METHODS MONODE ETCHING SYSTEM THAT WORKS AND INCLUDES TONS OF EXTRA STENCILS.PLATES AND MUCH MORE.I HAVE POWERED THIS UNIT UP AND IT IS WORKING.SOME METICULOUS CLEANING IS NEEDED IF DESIRED.THE ORIGINAL ELECTROLYTE BOTTLE IS EMPTY.THE CABINET IS IN VERY GOOD CONDITION.PACKED SHIPPING WEIGHT IS 27 POUNDS. AC-DC Output with 6-position switch. Settings"A" through"F" regulates the mark voltage. Ranging from 5 volts progressively through 8 volts, 11 volts, 14 volts, 17 volts, to 20 volts. Electrolytic Stencil Cleaning Current is available at the Yellow Jack. When connected to the hand-pad with wick serves as stencil cleaner on fixed stencils on Bench Fixture. For shallow marks(0001) to deep etches(003) ALL QUESTIONS WELCOMED BEFORE BIDDING*PLEASE NOTE*I AM NOT AN EXPERIENCED MACHINIST ... moreAND MOST OF THESE ITEMS HAVE BEEN ACQUIRED FROM A RETIRED MACHINIST AND MAY NEED CLEANING.MAY HAVE SOME PITTING OR LIGHT SURFACE RUST,MAY BE INSCRIBED WITH INITIALS& S,REGROUND OR ALTERED,MAY NEED FURTHER METICULOUS CLEANING,MAY NOT BE FULLY ACCURATE AND MAY BE CHIPPED.NEED SHARPENING AND MAY HAVE DUPLICATE SIZES BUT MOST ITEMS SHOULD BE USABLE(UNLESS OTHERWISE INDICATED)AND GOOD FOR AN APPRENTICE OR A SECOND TOOL TRAY AROUND THE LATHE OR MILL OR FOR THE BASEMENT NIGHT SHOP*PLEASE FEEL FREE TO ASK ANY QUESTIONS ABOUT SIZES OR CONDITION OR ANYTHING AND PLEASE CHECK OUT MY OTHER CUTTING TOOLS,TOOLING,TOOL HOLDING,COLLECTIBLES AND OTHER USABLE STANLEY STARRETT,BROWN& SHARPE,LUFKIN ETC MACHINIST'S TOOLS AND OTHER TRADE TOOLS& EQUIPMENT BY CLICKING BELOW OR ABOVE ONTO"VIEW SELLERS OTHER ITEMS"Please Check out my! NOTE*MORE TOOLS AND STUFF FOR AUCTION IN UPCOMING WEEKS*NOTE*AS ALWAYS MULTIPLE PURCHASES WILL DECREASE ALREADY LOW SHIPPING COSTS.PLEASE READ BELOW* IF YOU WOULD LIKE TO CARRY OVER A PURCHASE FROM ONE WEEK TO THE NEXT TO SAVE SHIPPING THAT'S FINE BUT I WILL REQUIRE PAYMENT ONLY ON ITEMS PURC
PLASMA-THERM 700 RIE SYSTEM Reactive Ion Etcher Refurbished
Plasma-Therm 700 REACTIVE ION ETCHER PLASMA-THERM 700 RIE SYSTEM WITH THESE PLASMA-THERM CONTROLS PRM-1 PRESSURE CONTROL HFS 500 RF GENERATOR SUPPLY MFC-5 MASS FLOW CONTROL Available Options: LH D60 WS/WA 251 BLOWER 179 CFM BLOWER PKG VACUUM HOSE AND FITTINGS Please Note: We are Offering this Plasma-therm 700 RIE System Fully Refurbished All shipping costs to return the product are the responsibility of the buyer. International Buyers must arrange shipping we'll provide weights and dimensions Packaging for international and crated shipments will be added to invoice. Please Visit our E-Bay Store and check our other auctions
MTI Corporation AfterGlo Plasma Etcher Track AGLO01
MTI Corporation AfterGlo Plasma Etcher Track AGLO01 Item is untested and sold as is.
ARMENIAN CHURCH HISTORY: ETCHER HAY YEGEGHETSVOH BADMUTYUNEN by MESROB ASHJIAN
ETCHER HAY YEGEGHETSVOH BADMUTYUNEN by MESROB ARKYEBISKOBOS. ASHJIAN. Paperback. 143 pages in Armenian. Thin volume of pages from Armenian Church history. Highly recommended. New York 1994, Condition: Like new. Contents clean and binding tight.Minor corner bumping. Winner to add $4.50 for packing and shipping for addresses within the United States. I will ship internationally if this listing is accepted by Ebay's Global Shipping Program or buyer will have to pay international postage through the US Postal Service and relevant duties. Please try to pay with Paypal within 5 days of auction's end. Thanks!
Tegal Plasmod RIE Etcher
TEGAL PLASMOD PLASMA ETCHER/ ASHER- WORKS 4" Chamber. Manual Tuning, Tool works in manual mode. Tube type. Up to 100 watts@13.56 Mhz Pump not included. Used. Available for inspection. Crating and freight options will be discussed with the buyer and charge will be based on actual cost
Ottadini road frameset, 60cm, sand blasted & etcher primed, possible fixie
Ottadini labelled lightweight steel road frameset. Sand blasted& etcher primed ready for top coats to choice Possible fixie bike. Unknown tubing but a very good quality frameset for sure. The tubing is butted. You can just feel the change as you run fingers along the tubes to the lugs. The lugs are very nicely finished off, spear-points with heart cutouts. My best guess is Reynolds 531 butted tubes forks and stays. I don't think it's Columbus SL, I guess it could possibly be Columbus SLX. I'd estimate the date of the frameset as early to mid 80s. I believe it has been a custom time-trial frameset from that time. Originally had Ottadini decals. See research notes below. size of seat tube centre to top… 60.5cm size of seat tube centre to centre… 58.8cm seat tube angle…73 degrees length of top tube centre to centre…55cm wheelbase… ... more96.5cm steerer tube… 1" Threaded frame number… TN0111 under bb and also on fork steerer(To those who know about frame numbers and origins. It would be appreciated if you might let me know if that number tells you anything? weight of frame and forks… 2.32kg front forks brake drop with 700c wheels… 44mm front forks headset crown race size…26.4mm bottom bracket thread English or Italian… English rear dropout spacing… 127mm seat post size… 27.2mm condition of threads checked and all are fine… yes tracking frame and forks… all good front dropout type…Campagnolo rear dropout type… Campagnolo- medium slot colour… none. Sand-blasted and etcher-primed ready for final preparation and custom top-coats to choice paint condition… n/a no dings or dents that I can find. there's no pitting. braze-ons: rear mech hanger…yes front mech…band-on mech top tube rear brake cable stops or sleeve eyes… sleeves above bottle bosses on down tube… yes bottle bosses on seat tube… no down tube lever bosses or band-on pip… bosses bottom bracket gear cable runs… hole for plastic guide under chainstay cable stop… under seat stay chain hanger… no head tube pump pi
Branson/IPC 2000T Plasma Asher/Etcher Oven w/Leybold Trivac D 65BCS Vacuum Pump
Branson/IPC 2000T Plasma Asher/Etcher Oven w/Leybold Trivac D 65BCS Vacuum Pump FOR PARTS OR REPAIR ONLY | AUCTION TERMS: AS IS ITEM DESCRIPTION: Welcome to our listing! Branson/IPC 2000T Plasma Asher/Etcher Oven with Leybold Trivac D 65BCS Vacuum Pump We are not able to test this equipment due to the lack of expertise. We presume it needs repairs. You will received this equipment as pictured. The following will be included. But not limited to: Branson/IPC 2000T Plasma Asher/Etcher Oven System A12300-02 with Controller Branson/IPC PM-132 RF Generator Branson/IPC Power Distribution LP Teledyne Hastings Vacuum Gauge VT-6B for DV-6 Leybold Trivac D 65BCS Vacuum Pump with Emerson G78597 3.0 HP Motor This equipment is sold for parts or repair only! No returns or exchanges will be considered. No warranty expressed. Written or implied! WARRANTY: ... moreNO WARRANTY EXPRESSED. WRITTEN OR IMPLIED! ALL SALES ARE FINAL NO RETURNS OR EXCHANGES WILL BE CONSIDERED PAYMENT: WE ACCEPT PAYPAL. ALL CREDIT CARD PAYMENTS MUST BE MADE THROUGH PAYPAL. WE DO NOT ACCEPT CREDIT CARDS DIRECTLY! IF YOU NEED TO SEND US A NOTE OR A MESSAGE DURING CHECKOUT. PLEASE DO NOT US ADD NOTE IN THE CHECKOUT PART OR IN PAYPAL. INSTEAD SEND US A MESSAGE THROUGH EBAY OR EMAIL US DIRECTLY! WE RESERVE THE RIGHT TO DENY ANY PAYMENT THAT WE CONSIDER FRAUDELENT OR SUSPICIOUS! shipping: SHIPPING QUOTED IS FOR CONTINENTAL US ONLY. BUYERS FROM OUTSIDE CONTINENTAL US AND INTERNATIONAL BUYERS PLEASE CONTACT US FOR A SHIPPING QUOTE. DUE TO THE SIZE OF THIS SHIPMENT IT WILL BE SHIPPED ON A PALLET OR A SKID VIA OUR PREFERRED FREIGHT COURIER WITH TRACKING INFORMATION UNLESS BUYER WANTS TO ARRANGE THEIR OWN SHIPPING. LOCAL PICKUP IS ENCOURAGED. WE DO NOT CHARGE A PICKUP FEE. SHIPPING COST IS FIXED FEE. NON-NEGOTIABLE AND NON-REFUNDABLE. IT INCLUDES PACKING MATERIAL COSTS AND HANDLING EXPENSES. IF YOU DO NOT AGREE WITH IT. PLEASE DO NOT BID. ANY MERCHANDISE SENT TO ANOTHER COUNTRY MAY BE SUBJECT TO DUTY UNDER THE CUSTOMS REGULATIONS OF THAT COUNTRY. TECHYPARTS. LLC. DOES NOT M
March Jupiter II RIE plasma system, March plasmod, Plasma Etcher, Plasma Asher
Rebuilt March Jupiter II RIE AE 600 Watt RF Generator. 13.56 MHz, solid state, Two Mass Flow Controllers. Automatic or Manual impedance matching, Timer, End Point Detector, Baratron Pressure Display. End Point Control. Aluminum Chamber, 4" 6" or 8” wafer capability. 75" material height limitation. Designed for use on table top or counter. System is: S/N 129. March Controller is: PCM2 S/N 1050, 600 watt RF generator is: AE RFX600 Includes all cords and cables. Fully rebuilt and tested. Sold with a 30 day warranty. Glow Research has taken over the support of several older Nordson March Plasma systems. This allows us to provide warranty support and rebuilding of these systems. Please email customerservice@glowresearch.org for more information.
Ideal Industries etcher etching machine
Working etcher model 11-048C 120V 12.5A Etcher is available for local pick up.
VINTAGE 6V MARKING PENCIL etching tool etcher LODI MFG USA L31
vintage 6V Marking Pencil Lodi Mfg USA model L31 in original box in condition- not tested please check my other auctions and happy bidding! we are always happy to combine items for cheaper shipping Powered by The free listing tool. List your items fast and easy and manage your active items.
Anatech Ltd 600 Series Plasma Etcher
Model: MR600 Quartz Serial: 4601006 Gas/Water: 20 Psi Electrical: 120V 15A 60 Hz This unit is missing the controller and RF Generator. There are two chips out of the glass on the door however the glass tube inside the unit is pristine. The pictures included in this listing are of the actual item. No accessories or documentation included unless otherwise noted and photographed. If there are any issues with your purchase. Please contact us first and we will look for the best solution. No combined shipping offered with this item. Payment is expected by the third business day after winning the item. We will open an unpaid item case if we haven’t heard from you by then. We only ship to the 48 contiguous states. Please check the shipping charges in this listing before bidding. Local pick-up during business hours is available in our Freehold New ... moreJersey location.
MARTINDALE ELECTRIC ETCHER ENGRAVER MACHINE SHOP TOOL POWERS UP
DESCRIPTION: USED. POWERS UP, SELLING AS PARTS, MARTINDALE ETCHER. IT RUNS ON 115V POWER. THE FABRIC ON THE CORDS IS WORN AWAY. THE HANDPIECE HAS CRACKS AND TAPE ON IT. I TRIED IT OUT ON A PIECE OF METAL. IT SPARKED AND THEN I WAS ABLE TO WRITE IN THE METAL. I'M NOT SURE HOW THIS MACHINE IS SUPPOSED WORK SO IT WILL BE SOLD AS PARTS. CLICK ON THE" SHIPPING AND PAYMENTS" TAB FOR INSURED. SHIPPING AND HANDLING CHARGES AND OPTIONS. I WILL COMBINE SHIPPING WITH OTHER ITEMS WHEN POSSIBLE. IF YOU WIN MULTIPLE LISTINGS WAIT FOR ME TO SEND AN INVOICE. CHECK OUT MY OTHER AUCTION LISTINGS. IF YOU HAVE ANY QUESTIONS. PLEASE ASK BEFORE THE END OF THE LISTING* LIST OF TERMS: ALL ITEMS ARE SOLD. AS IS" NO WARRANTIES GIVEN OR IMPLIED. ALL ITEMS MUST BE PAID FOR WITHIN 5 DAYS FROM THE END OF THE LISTING. ALL ITEMS WILL BE SHIPPED. UNLESS ... moreOTHERWISE STATED IN THE BODY OF THE DESCRIPTION: NO EXCEPTIONS; NO MATTER HOW CLOSE YOU THINK YOU LIVE TO MY LOCATION. ALL SHIPPED ITEMS WILL BE SHIPPED USING MY SHIPPING ACCOUNTS AND LABELS. I DO NOT WAIT FOR LABELS IN THE MAIL OR USE THE WINNING BIDDER'S"ACCOUNTS" ALL SHIPPED ITEMS WILL BE SHIPPED TO THE WINNING BIDDERS REGISTERED ADDRESS. NO DROP SHIPPING. MICHIGAN RESIDENTS AND PICK UP SALES PAY 6% SALES TAX. IF YOU BID. BUY OR WIN A LISTING YOU AGREE TO THE TERMS STATED ABOVE. IF YOU HAVE ANY QUESTIONS. PLEASE ASK BEFORE THE END OF THE LISTING* International Buyers– Please Note: INTERNATIONAL BIDDERS PAY WITH PAYPAL ONLY. NO OTHER KIND OF PAYMENT WILL BE ACCEPTED. NO DROP SHIPPING FOR INTERNATIONAL BIDDERS. Import duties. Taxes and charges are not included in the item price or shipping charges. These charges are the buyer's responsibility. Please check with your country's customs office to determine what these additional costs will be prior to bidding/buying. These charges are normally collected by the delivering freight(shipping) company or when you pick the item up– do not confuse them for additional shipping charges. I do not mark merchan
VAN BRIGGLE MING BLUE/ TURQUOISE 5 IN VASE SIGNATURE MARK BY ETCHER H 1930-1940
VAN BRIGGLE WE TRY TO ACCURATELY SHOW THE ITEM BEING SOLD. THE MARK WAS DONE BY ETCHER H EMPLOYED 1930-1940 DECORATED WITH HUGE LEAVES THIS IS A VERY BEAUTIFUL PIECE THE GLAZE IS EXCEPTIONAL IF YOU HAVE QUESTIONS PLEASE LET US KNOW. WE WILL NEVER PURPOSELY MISLEAD YOU BUT WE ARE NOT EXPERTS ON EVERYTHING WE SELL. WE RELY ON OUR PHOTOS AND YOU TO ASK QUESTIONS. WHEN POSSIBLE WE WILL GLADLY COMBINE SHIPPING Powered by The free listing tool. List your items fast and easy and manage your active items.
Vintage Ideal Industries Etcher # 11-048-C Etching Machine,
This is a working Vintage Ideal Industries Etcher# 11-048-C Etching Machine. This was a estate find it does need cleaned, there is a brass tag that has Janitrol Areo Div#A00970. This unit does need cleaned and I will let the new owner clean it to their satisfaction. This is heavy and the shipping weight is 34 lb after packed, Please look at the pictures and email me with any questions before bidding, Thank You for looking Check out my! Be sure to add me to your! Payment required within 3 days after winning the auction. if payment is not made after 3 days we will send you a reminder email. After 7 days we will open a unpaid item case that's the only way we get back our Ebay fees) Please allow up to 24 hours for all emails to be answered. Shipping Costs will be charged on the weight of the package after it is packaged, we do not charge ... morefor handling or shipping materials(we used clean recycled and used shipping boxes and packing materials) We use USPS shipping No exceptions. Ohio buyers are responsible for applicable sales tax of 7.5% of sell item(no tax on Shipping Cost) Most of our products are Used, Vintage or Antique(not unless specified as new or never used in the listing) we try to describe our item to the best of our knowledge and put as many pictures as need to see the products we list, WE OFFER NO MANUFACTURER WARRANTY OR ANY WARRANTIES, ALL OF ARE ITEMS ARE SOLD AS IS-AS FOUND WITH NO RETURNS, PLEASE MAKE SURE THAT YOU LOOK AT EACH PICTURE AND IF YOU HAVE ANY QUESTIONS PLEASE EMAIL US AND WE WILL HELP YOU IN ANY WAS POSSIBLE. We usually ship within 1 to 3 business day after receiving payment. Please be aware that weekends and holidays are not business days. We Ship USPS No exceptions•We Ship International Worldwide, We use USPS international shipping options, international buyers are responsible for all duties, customs charges, and/or brokerage fees. We cannot ship as a gift, or claim as lower value. Due to tracking information we do not Guarantee First Class International Mail, We hav
Lam Research 4520 Plasma Etcher
Lam Research 4520 Plasma Etcher SHIPPING NOTICE: This will need to be shipped by freight because of the size and weight. An additional $70.00 will be charged for a residential delivery. If lift gate delivery would also be an additional $70.00. Lam Research 4520 Plasma Etcher Used but in good condition. I was told by the seller that this unit came out of a working environment. But can not verify that. I have no way of testing or evaluating this item. The inside looks clean. It also includes the cable harness and power cord as shown. I took many pictures and so please check them carefully. It only comes with what is shown in the pictures. I have other equipment listed seperately that came with this item that is most likely related. Such as a Lam AC/AC-Converter-TCP and a Lam remote control panel on a mobile cart. Please note that we(Kellan ... moreInc) are a commercial and industrial surplus product dealer. We acquire our products from company closures. Obsolete systems, government surplus, overstock, etc. Unless otherwise indicated, all products include our 14-day warranty. However, most products do not include a"manufacturer's warranty" Products are tested to the best of our abilities. This can include a power-up test. Navigating menus, performing simple operational tests, etc. We do not always have the resources and/or abilities to fully test each item we sell. However, we do guarantee and warranty all of our products(unless otherwise advertised"as is" broken" etc. Our standard guarantee/warranty period is 14 days. This period begins on the delivery date. This gives the customer enough time to receive, inspect, and test the product- to make sure the product is satisfactory. If there are any issues, please contact us. We present our surplus products as accurately as possible. Please note that our products only include the pictured items. If an item is not in the picture(i.e. a power adapter. Electrode, original packaging, etc. it is likely not included. That rule applies to"new in box&qu
Nordson March PM-600 Barrel Plasma Etcher
Nordson March PM-600 Barrel Plasma Etcher This is another fine Gizmo from SurplusGizmos located in Hillsboro Oregon. Nordson March PM-600 Barrel Plasma Etcher This is another fine Gizmo from SurplusGizmos located in Hillsboro Oregon. You may be interested in. Nordson March PM-600 Barrel Plasma Etcher Item Description and Features: Please see pictures and the link below for more details. This was pulled out of a working clean room. Quartz interior. Includes a Thermovac TM22 Please see our shipping policies located further down before bidding on this item. If you are an international buyer and we make an agreement to ship to a domestic address. We will only ship to that domestic address once the transaction has gone through. It will be your responsibility to handle the international shipment. Please pay as soon as possible. If you do not pay ... morewithin three days(of receiving the invoice) Please contact us or respond to our messages. If you do not pay and do not respond to our messages, we will be forced to open an unpaid item case after 7 days. It is polite Ebay behavior to pay within a few days or less if immediate payment is not possible. Please look over the photos carefully and do not assume anything about the item(s) included in this listing. This item is sold as you see in the pictures. Unless otherwise stated. If it is not pictured, it is not included. We are not experts and do not claim to be with any of the items we sell. We do the best research we can in order to properly represent the item(s) as clearly and honestly as possible. We strongly encourage buyers to do their own research beforehand. If you have any questions, please ask before you make your purchase and we will do our best to answer your questions to the best of our abilities. Item Condition: Used. Pulled from a working clean room. Door latch might be faulty or we aren't using it correctly. Full operating condition unknown due to inability to test. See pictures for more details. Item is sold"as is" No guarantee Testing Done: No test
Feather Burner Etcher Traditional Archery
Normal 0 MicrosoftInternetExplorer4 Feather Burner Etcher Traditional Archery manufactured by George Nelson Inc. Foley.Alabama. Item appears to be in like new condition with little or no signs of use. Item has been tested. It heats up. It has a built in dial regulator and working indicator light. The box measures 6.5 x 5.5 x 4 inches. Shipping to Canada and United States cost is $30.All other countries email for shipping rates.
IPC Branson Dionex Plasma Etcher Reactor Center with RF Generator and Sequencer
IPC Branson Dionex Etcher Reactor Center with RF Generator and Sequencer Unit Asher Plasma Branson International Plasma Corporation IPC a SmithKline Company Reactor Center PM-21020 Dionex Gas Plasma Systems PM112-1500 Watt Generator Dionex Gas Plasma Systems PM906U-4 Integrated Programmer Module POWER RATING.1500 watts continuous input REACTOR.2 ea 10" dia. x 20" long OPERATING PRESSURE RANGE.0.1- 10 torr VACUUM SEALS.Silicone O rings and bell jar gasket REACTOR WINDOW.Hinged and spring loaded. With latch for open position PRESSURE TRANSDUCER.Thermocouple type IMPEDANCE CONTROL.Matches from 10-1500 watts to present input impedance of 50 ohms at RF power input connector. Automatic impedance matching once preset. Controls FLOW. 3- ON/OFF FLOWMETERS. 3- Range 0-900cc/min. RF POWER CONTROL.3- ON/OFF WATTMETER.0-1000W Forward. 0-300W ... moreRef. VACUUM METER.0-20 Torr range 906U Operating Controls PURGE GAS. Switch VACUUM. Switch MODE SWITCH. Automatic or Manual control. Switch selective CYCLE. Push button to advance system logic to next state START. Push button to activate automatic sequence RESET. Push button to purge chamber. Reset auto sequence at end of cycle TIMER. 0-99.9 min digital timer This auction comes with two quartz chambers. A number of spare parts, o-rings, quartz glass parts. Items are believed to be accurately described but are sold as is and we are not experts on this equipment. This auction includes a 1500 watt RF generator.
Arthur Millier SIGNED LETTER 1962 Southern California Artist Etcher ALS Landacre
Arthur Millier SIGNED LETTER 1962 Southern California Artist Etcher ALS Landacre Here is your chance to own this original. Two page autograph letter signed(ALS) by Southern California artist, etcher, printmaker and Los Angeles Times art critic Arthur Millier to noted film composer Hugo Friedhofer. The letter is dated September 12. 1962, signed Arthur. In this friendly letter Millier is getting back in touch with his long-time friend. Inviting over for a visit and catching him up on what has been going on, including his return to etching after a twenty year hiatus. Arthur Henry Thomas Millier was born in Somerset. England on Oct. 19, 1893. Millier immigrated to California in 1908 and, after graduating from Los Angeles High School, studied at the local Art Student League. His art pursuits were interrupted by WWI in which he served in France ... morewith the First Canadian Pioneers and was wounded at the Battle of the Somme. Following the war he lived in San Francisco where he attended the California School of Fine Arts(San Francisco) and was active in the local art community. He returned to Los Angeles in 1922. During the following years he taught art and etching techniques at such institutions as the Chouinard School. The Otis Art Institute, University of Southern California and the Pasadena Art Institute. Arthur Millier also was the long time art editor and critic for the Los Angeles Times. From this important position. Millier was among the first to promote the growth of regional California art. His association with the California Scene Painters(also called the'California Group' helped to establish the national reputations of such California artists as Emil Kosa, Phil Dike, Millard Sheets, Barse Miller and Rex Brandt. He also wrote the forward to Paul Landacre’s landmark book California Hills. Millier retired from the Times in 1958 and passed away in 1975. Arthur Millier's first etchings and drypoints date from around 1920 during his time in San Francisco. They depict the back streets and the poor peoples of
SPI Arkograf Engraver/Etcher w/Pen and Extra Needles- Made in Germany
SPI Arkograf Electric Engraver/Etcher Comes with extra needles and fuses. The Etcher looks to be in very good condition although since we are not experts in this field we cannot say that it works properly. Although we were told that it was in very good working condition at the estate sale that we bought it from. Please see pictures. Please study the photos carefully as they are an important part of the description. Feel free to request any additional photos. We purchase many of our vintage items from auctions and estate sales and try our best to describe them as accurately as possible. But what may appear as excellent to us. May in fact be"good" or"very good" to you. So please don't hesitate to ask us any questions BEFORE bidding or making a purchase. We encourage questions and answer them promptly! Please contact ... moreus prior to leaving feedback if you are not satisfied. We will happily work with you to make sure you are completely satisfied. Customer Service is our Number One priority! Check out our feedback rating and bid with confidence! Thanks for looking! International Buyers: Please contact us prior to bidding on or purchasing an item if you do not see a shipping cost for your country. Please note that there is no tracking number for international shipments. And although we ship within 24 hours of receipt of payment. It could take 3-4 weeks or longer for delivery based on possible postal and/or customs delays.
Tegal Model 915/965 Plasma Etcher Operation / Maintenance Cleanroom Manuals
Tegal 915/965 Plasma Etcher Operation/ Maintenance Clean room Manuals Set of 2. 88-072-001 Used Manual will ship Fedx ground. So please included your phone# Quoted shipping price is for US customers only. We'll ship worldwide. But shipping cost will be determined at the time of purchase Logan Technologies 254-760-2424
Lam Research 490 / 590 ETCHER PCB 710-7930-1 REV C
LAM RESEARCH 490 590 PCB 710-7930-1 REV C REMOVED FROM LA 590 Untested. 14 day right of return. Quoted shipping price for lower 48 states US customers only. OVERSEAS BUYERS MUST PAY ALL SHIPPING COST. Questions? Call 254-760-2424
JSM 40 Artsign Laser Etcher Engraver 40 watt No Reserve
Artsign JSM-40 laser etcher. I bought this unit and don't really have time to do anything with it. Comes packed in original box. The picture on the wood is a piece I did to show the detail. The face in the center is the size of a quarter.
New Hermes Engravograph Engraver Etcher Without Motor ITF-KII
New Hermes ITF-KII Engravograph Engraver Etcher Without Motor Unit has a broken extender arm which connects Engravograph to engraving motor. Unit is As-Is for parts or repair. SKU 46071
300MM Etcher ESC CONTROLLER PSESC-C5000J
300MM Etcher ESC CONTROLLER PSESC-C5000J- FREE SHPPING FEE. CONDITION- TAKEN FROM A WORKING EQUIPMENT-SHIPPING- Winning bidder will get free Shipping and Handling. Normally it will take less than 10 working days to deliver to winning bidder. ★ PLEASE LET US KNOW YOUR CONTACT NUMBERS VIA E-MAIL FOR EASY AND QUICK DELIVERY. OR CHANGE YOUR PERSONAL INFORMATION BEFORE YOU BID. ★-Return Policy- Please contact us first before returning. Return can be accepted within 14 days after item’ s arrival. And it has to be in the original condition as it was sent out. Buyer is responsible for the postage of the returned item. And the original postage cost is not refundable. If you would like to exchange an item. Additional postage charge also will incur for dispatching the item back to you. Payment- Paypal Only
Tegal 903e dry etcher used semiconductor equipment
Condition: AS IS.WHERE IS( Refurbished by seller is optional at extra charge) Wafer Size: 6 inch configuration. Valid Time: Subject to prior sale without notice Lead Time: Ready to go Location: Silicon Valley. CA, U.S.A. Warranty and refund: N/A Tegal 903e plasma dry etch description for reference The Tegal 903e plasma dry etch semiconductor equipment were made by Tegal Corporation and represents the Industry Standard in single-wafer Dry Etch of Polysilicon. Nitride, Silicon Oxide and the mainstay of the highly successful plasma etch system. The Tegal 903e plasma dry etch semiconductor equipment are used by the semiconductor industry for integrated circuit fabrication. The Tegal 903e plasma etcher plasma etch semiconductor equipment are used in one part of the sequence of manufacturing steps that transfer a pattern formed from a layer of ... morephotosensitive material. The photoresist, to a layer that makes up a permanent part of the finished device. Wafers in the Tegal 903e plasma dry etch semiconductor equipment are transported to a Reaction Chamber. A gas mixture is introduced into the Reaction Chamber. And the gas mixture is caused to become reactive by the application of radio frequency(RF) electromagnetic radiation. The reactive mixture, or plasma, etches away material that is not covered by the masking photoresist. The etch process is terminated at an appropriate time, the wafer is unloaded from the Reaction Chamber, and a new wafer is introduced. The cycle repeats. The Tegal 903e plasma dry etch semiconductor equipment Plasma/RlE etchers have been configured to take advantage of the characteristics of plasmas for etching various films. Each of the models in the 90Xe family have been optimized for specific etches of specific films. All models have the common ability to implement multi-step etch recipes using multiple process gases. An optical monitoring system provides a means for determining etch completion so that the etch process may be terminated. We have good source for the following used semiconduct
Tegal March PLASMOD Tabletop Plasma Reactor Asher PCB Etching Etcher System
Offering a TEGAL PLASMOD TABLETOP Plasma Reactor Etcher Sold for parts or repair. Unit tested for power only! MAKE AN OFFER! Made in USA. Comes as pictured. Tested for power only. The unit was found to power normally with rear fan operating and the Eimac Tubes burning bright. I have absolutely no other way to test. Please note; The outer pyrex chamber is damaged. But the inner looks to be in excellent shape with zero visible defects. May need a proper cleaning but otherwise excellent. I also did a very detailed visual inspection of all interior components. All components and parts look to be intact and in great shape. Check the pictures carefully for a better idea of condition. Email if you have any questions. Measures 14 3/4" D x 10 3/4" H x 12" W. Manufactures Description: Tegal's PLASMOD is a tabletop plasma chemistry ... morereactor designed to provide the scientific and educational community with plasma technology at a moderate cost. Tegal is able to provide such capability by engineering a simple to operate instrument which can perform repeatable plasma chemical reactions with a minimum of automation. All controls are manual; however. Where necessary, automatic monitors and controls take over to protect the equipment and the samples in the reactor. The PLASMOD comes quipped with an internally housed RF generator. RF power is transferred from a power amplifier directly coupled to the reaction chamber through a matched impedance network. A variable capacitor provides fine tuning control for matching the output impedance of the RF generator with the capacitive load of the reaction chamber. An audible alarm sounds whenever the impedance match goes out of specification. This also aids in tuning since the alarm-off"window" corresponds to the"in tune" state of the machine. Instrumentation for the PLASMOD consists of a power tuning indicator. The audible tuning alarm, and provisions for several optional attachments. These include facilities for an externally mounted pressure transduce
SPI plasma prep II plasma etcher & NOS Fisher P40 vacuum pump,nice lot, nice buy
I have here for your consideration a working SPI Supplies Plasma-Prep II. Model 11005, plasma etcher. Included with the sale is a brand new(NOS) Thermo Fisher model P40 Vacuum pump as well as the vacuum instrumentation(sensor and display meter) When I say working, I mean that I plugged in the plasma prep, powered it up, and the vacuum tubes lit up. Beyond that, I do not have the knowledge to test the system. I bought out a storage unit that contained a bunch of lab equipment, and this is the first item that I am offering from that lot. All of the items are clean and in excellent condition, but I cannot offer a warranty. The lot is priced accordingly. This is a nice lot for a re-seller or someone that can use this equipment. My research shows that the vacuum pump alone retails for $3300. This is a lot sale of the three items shown, shipping ... moreis included in the selling price. 40g.RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2B%3E40g-14af661ed34-0x10e-
Gasonics Plasma Etcher / Asher Display Assembly, P/N 95-0296 Rev C
Gasonics Display Assembly"L-3510 or A-3010" Model 95-0296 Untested. Board will ship Fedx ground. So please included your phone# Quoted shipping price is for US customers only. We'll ship worldwide. But shipping cost will be determined at the time of purchase. Logan Technologies. LP 254-773-4070
SPTS High Rate Deep Silicon ICP Etcher
Title: SPTS High Rate Deep Silicon ICP Etcher Description: SPTS/ STS DRIE(Deep Reactive Ion Etch) system Year of manufacture: 2003 Serial Number: 32343 Advanced Silicon Etch(ASE) with Bosch process HR Chamber for High Rate Etch Set up for 200mm wafer(100mm-200mm capable) MACs Loader for Cassette to Cassette operation(2 load stations) Load Lock Pump: iQDP80 Chamber Pump: iQDP40-QMB250 Chiller: Tektemp TKD200/5118TL Removed from operation in 2014 at a MEMs fab. As-is. no warrantee or guarantee Refurbished system is available for purchase from GCE Market. See the following link: http:gcemarket.com/gce/gce.nsf/products/spts-ase-hr-icp-drie-9aar7y Pricing: $155K Sold: As-Is-Where-Is. No Warranties Expressed Or Implied.
ELECTRIC POWER ENGRAVER ETCHER GLASS WOOD METAL JEWELRY ETCHING MARKING PEN TOOL
This item has been fully tested by our tech department and is in good working condition. Item is guaranteed non-DOA and is covered under our 14-day return policy. Item comes to you exactly as pictured- nothing more. Nothing less. FREE 2-3 DAY USPS PRIORITY SHIPPING! WASI-V5A
REMBRANDT THE ETCHER 1606 ~ 1669 - NATIONAL MUSEUM OF HISTORY Taipei, Taiwan
Rembrandt van Rijn 1606- 1669 REMBRANDT THE ETCHER NATIONAL MUSEUM OF HISTORY Taipei. Taiwan In cooperation with Jacques-Paul Athias Club of American Collectors of Fine Arts. Inc. Paperback with 112 pages of detailed illustrations. Text contributors: Jacques-Paul Athias. Pamela Hannigan and Huang Kuang-nan, Museum's director. Text in English and Chinese 11 3/4" x 8 1/4" ~ 29.5 x 21 cm. Catalog with dedication and personally signed by Mr. Athias Including the original show admission ticket. In flawless new condition from my personal Fine Arts book collection. ~~~~~~~ Offered by: Joe Gross Gallery. Inc. Item is carefully and professionally packed, Thanks for looking and good luck bidding. INTERNATIONAL BUYERS: Please contact with address to receive accurate shipping costs prior to paying. International pay actual shipping costs ... moreplus supplies based on size& weight. Will combine shipping on multiple purchases if possible at no extra charge. Import duties Import duties. Taxes and charges that your country may charge are not included in the item price or shipping charges. These charges are the buyer’s responsibility. Please check with your country’s customs office to determine what these additional costs(if any) will be prior to bidding/ buying. Most countries will have a certain dollar amount that is exempt from these additional charges. These charges are normally collected by the delivering freight(shipping) company or when you pick the item up. Do not confuse them with my shipping charges. I do not mark merchandise values below value or mark items as“gifts” US and International government regulations prohibit such behavior. If you wish to insure your item contact me directly off eBay. FREE SHIPPING US ONLY
C113695 SPI Structure Probe Plasma Prep II PPII Plasma Etcher w/ TC Vacuum Gauge
Click to Enlarge) Product ID# C113695 This SPI Supplies Division of Structure Probe. Inc. model PPII Plasma Prep II Plasma Etcher looks to be in good cosmetic condition, showing some signs of wear(please see the photos above for detail) It comes with a Televac II Vacuum Gauge with 2A Thermocouple(2-2100-10, 0-1,000 Microns) as shown. It powers up, as shown in the photos above. However, I lack the knowledge and equipment to formally test it, and it is being sold as-is. Approximate overall unpacked dimensions: 20"L x 12"W x 17"H. Please ask questions or indicate concerns prior to bidding. By placing a bid. You agree to all stated terms.All auctions are sold as advertised, as is and without warranty, unless otherwise stated in the item description. See additional terms of the auction below Shipping. Buyer pays a fixed shipping ... moreand handling fee of 65 dollars(for DOMESTIC shipments only- 48 contiguous states excluding Hawaii and Alaska) All international shipments(including Hawaii and Alaska residents) will be quoted based on winning bidders shipping address. The unpacked weight of this item is 37 pounds Orders will ship 1-2 business days(excludes weekends and holidays) after receipt of confirmed/verified Paypal payments.We do not process orders nor ship on weekends or holidays. Auction Terms. Upon end of auction. The winning bidder should proceed to"CheckOut" and will be redirected to our Auction Checkout Page. WE DO NOT TAKE CREDIT CARDS(except through PayPal) All auctioned products are sold as advertised. As is and without warranty. Questions should be asked prior to bidding as all sales are final PAYMENT TERMS ARE PREPAID ONLY. Payments. ALL AUCTIONS ARE PREPAID ONLY. We accept Paypal payments that are US and Canada Verified with ship-to US and Canada confirmed addresses only. We accept credit card payments through confirmed/verified Paypal accounts only. California and Texas Sales Tax For all California ship-to locations within Santa Clara County. Local sales tax applies. For all Californ
Buehler Electromet III Polisher Etcher w/ Power Supply & Cell ONLY
| Buehler Electromet III Polisher Etcher w/ Power Supply& Cell ONLY, Click to View Image Album Click to View Image Album Click to View Image Album Click to View Image Album Click to View Image Album Click to View Image Album Click to View Image Album This auction is for a Buehler Electromet III Polisher Etcher w/ Power Supply and Cell. Item is in Used Working Condition. Item has signs of wear. Including scuffs and scratches. Item does not include original packaging, hardware, accessories, or instructions. SHIPPING: $50 shipping and insurance within the lower 48 States. SKU#MSHOP12. ATTN PAYPAL USERS: If you pay with PayPal your item will only be shipped to your listed Street Address on the PayPal payment. No exceptions. We do not accept Foreign Paypal payments if you want the item shipped to a US address. We can only ship items to a PO ... moreBOX if they are within the weight and size limits the Post Office allows. All items. Including items sold as new in box, only come with the accessories listed in the auction description. Do not assume that an accessory is included unless specifically stated and/or pictured. If you are unsure if an accessory is included based on our description, please email before bidding. No refunds will be given, nor will any returns be accepted based on missing accessories that were not included in the auction description. Accessories include but are not limited to power supplies, power cords, separate parts, optional parts, mounts, covers, boxes, software, licenses, or any other part that is not specifically listed and/or pictured in our auction description. All accessories listed and pictured in the auction are included as stated. All items must be paid for within 5 days of the auction ending. We only ship to your listed PayPal street address if you pay with PayPal. If you are picking the item up in person we do not accept PayPal. If you are picking the item up or shipping it freight it must be picked up within 7 days of the auction ending. For returns we must be notified of the problem wi
STS Multiplex ICP RIE Deep Si etcher
6"wafer Vintage:2004 S/N:54343 Deep Si Etch for Bosch Process. ESC type. Leybold MAG1500 Turbo pump. VAT Pendulum Valve. ENI ACG-3B. ACG-10B, AE LF-5 Varian: SH110 pump. Edward iQDP80 pump. Affinity Chiller 1ea. CE Certified. Gas Configuration. Maker: Celerity Model: FC2901V- Ar(N2) 100sccm- N2- 100sccm- C4F8- 200sccm- SF6- 300sccm. Di,RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bishhov%3C%3Edi-14b3baa866f-0x104-
Lam Research Lam AutoEtch590 Plasma Etcher Dry Etcher Semiconductor equipment
It is complete. Working condition. But w e did not test the equipment. We sell the system at AS IS condition without warranty and refund.Inspection is available against appointment. Lam AutoEtch 590 description for reference only. 1.1 The Lam Research Corporation(LRC) Lam AutoEtch590 is an in-Line cassette to cassette. Fully automated, single wafer, double air-locked. Parallel plate plasma etching system. The etching program is saved on a recipe programming module. The entire Lam AutoEtch590 system is under automatic, closed-loop feedback control and is double keylocked to prevent accidental alteration of the process. The Lam AutoEtch590 has a CRT monitor display for monitoring the machine status and the process parameters. The operating pressure. RF power, electrode spacing, gas flow rates, and step terminating modes are programmable for ... moreeach step. 1.2 Lam AutoEtch590 plasma etcher plasma etch semiconductor equipment Process Chamber A wafer is transferred from a send cassette to the entrance station. From the entrance station. It moves into an entrance air lock and then into the process chamber where it is etched by a computer controlled gas plasma discharge. The wafer is then moved from the process chamber to the exit airlock, from the exit airlock to the exit station, and is finally transported to the receive cassette. The double airlocks allow the process chamber to remain under vacuum at all times. The process chamber is located behind the operator Interface display. The operator interface is hinged and will swing up allowing visual access to the process chamber. The process chamber is equipped with quartz windows on the front and back. Each· window has a wire mesh screen to contain the RF field and a plexiglass cover for UV filtering. The windows at low for observation of the etching process. The front window plate also serves as the mounting point for the capacitance manometer and a pressure switch. The major sub-systems of·the process chamber are: the Iower electrode assembly. The electrode gap
Lam AutoEtch 590 Plasma Etcher Used Semiconductor Equipment
Original Equipment Manufacturer: Lam Research Condition: AS IS condition(No chiller. No pump, With ENI OEM 12 RF Generator) Wafer Size: 6 inch configuration. Valid Time: Subject to prior sale Lead Time: Ready to go Location: Silicon Valley. CA, U.S.A. Warranty: No warranty and refund Lam AutoEtch 590 description for reference only. 1.1 The Lam Research Corporation(LRC) Lam AutoEtch590 is an in-Line cassette to cassette. Fully automated, single wafer, double air-locked. Parallel plate plasma etching system. The etching program is saved on a recipe programming module. The entire Lam AutoEtch590 system is under automatic, closed-loop feedback control and is double keylocked to prevent accidental alteration of the process. The Lam AutoEtch590 has a CRT monitor display for monitoring the machine status and the process parameters. The operating ... morepressure. RF power, electrode spacing, gas flow rates, and step terminating modes are programmable for each step. 1.2 Lam AutoEtch590 plasma etcher plasma etch semiconductor equipment Process Chamber A wafer is transferred from a send cassette to the entrance station. From the entrance station. It moves into an entrance air lock and then into the process chamber where it is etched by a computer controlled gas plasma discharge. The wafer is then moved from the process chamber to the exit airlock, from the exit airlock to the exit station, and is finally transported to the receive cassette. The double airlocks allow the process chamber to remain under vacuum at all times. The process chamber is located behind the operator Interface display. The operator interface is hinged and will swing up allowing visual access to the process chamber. The process chamber is equipped with quartz windows on the front and back. Each· window has a wire mesh screen to contain the RF field and a plexiglass cover for UV filtering. The windows at low for observation of the etching process. The front window plate also serves as the mounting point for the capacitance manometer and a pressure swit
Charles Keene ENGLISH ARTIST Etcher M H SPIELMANN Devon Coast 1904 ARTICLE
All text. Scans, and logos on this auction page, copyright 2006-2012 American Art Archives. What It Is Charles Keene as an Etcher By M. H. Spielmann as published in a 1904 magazine The Old Model A Gamekeeper Coast Scene Devon: Boats in Foreground A Lady Reading a Book This lot includes the complete article(7 pages total) Specifications Pages are about 6 x 9 inches Condition Note It's rather difficult to get the color and the contrast of the original in a scan AND preserve any flaws. Which tend to get muted, so this text will describe flaws, if any. Overall in very good shape. Carefully removed from an original period magazine. Auctioned as is. To learn about American Art Archives. Click the m e icon. Please click the graphic below to visit my current auctions. Thanks! Terms And Conditions Payments must be received within 10 days of end-of-auction. ... moreDomestic Shipping/Handling via First Class Mail. NOTE to foreign(outside USA) buyers: Please contact us for shipping and handling rates outside USA prior to the end of auction. Foreign winners are charged a $2 handling in addition to postage. Returns are only accepted if something was misrepresented in the auction text. We try to be accurate as possible so please read the auction description. Thanks. Don't Wait Till The Last Minute To Shop For Holidays And Special Occasions! But the noble man makes noble plans. And by noble deeds he stands. Isaiah 32:8
1952 Acquafortisti Veneziani Del Settecento Italy Venice Etcher History Art 18th
Acquafortisti Veneziani Del Settecento Mary Pittaluga(Venetian Etchers in eighteenth century) In Italian language. Firenze. Le Monnier. 1952. Pp. VII +/1/ + 208 with 169 illustrations +/4/ Size: 32 x 23.5 cm. Binding: Original cloth binding with dustjacket in cardboard box. Two small tears on the top of the dustjucket(5mm) Condition: Excellent. For condition and details see the scans. From Wikipedia. The free encyclopedia: Venice(English/ˈvɛnɪs/ Italian: Venezia[veˈnɛttsia] listen) alternative obsolete form: Vinegia; Venetian: Venexia[veˈnɛsja] Latin: Venetiae; Slovene: Benetke) is a city in northeastern Italy sited on a group of 118 small islands separated by canals and linked by bridges. It is located in the marshy Venetian Lagoon which stretches along the shoreline. Between the mouths of the Po and the Piave Rivers. Venice is renowned ... morefor the beauty of its setting, its architecture, and its artworks. The city in its entirety is listed as a World Heritage Site, along with its lagoon. It is also known as Amsterdam of the south. Venice is the capital of the Veneto region. In 2009. There were 270,098 people residing in Venice's comune(the population estimate of 272,000 inhabitants includes the population of the whole Comune of Venezia; around 60,000[3] in the historic city of Venice(Centro storico) 176,000 in Terraferma(the Mainland) mostly in the large frazioni(roughly equivalent to"parishes" or"wards" in other countries) of Mestre and Marghera; 31,000 live on other islands in the lagoon) Together with Padua and Treviso, the city is included in the Padua-Treviso-Venice Metropolitan Area(PATREVE) with a total population of 1,600,000. PATREVE is only a statistical metropolitan area without any degree of autonomy. The name is derived from the ancient Veneti people who inhabited the region by the 10th century BC. The city historically was the capital of the Republic of Venice. Venice has been known as the"La Dominante" Serenissima" Queen of the Adriatic" City of
Lam Research Remote Control Panel For 4520 Plasma Etcher
Lam Research Remote Control Panel For 4520 Plasma Etcher SHIPPING NOTICE: This will need to be shipped by freight because of the size and weight. An additional $70.00 will be charged for a residential delivery. If lift gate delivery would also be an additional $70.00. Lam Research Remote Control Panel For 4520 Plasma Etcher Used but in good condition. There is no model number on this unit. I was told by the seller that this unit came out of a working environment. But can not verify that. I have no way of testing or evaluating this item. It only comes with what is shown in the pictures. I have other equipment listed seperately that came with this item that is most likely related. Such as a Lam AC/AC-Converter-TCP and a Lam 4520 Plasma Etcher. Please note that we(Kellan Inc) are a commercial and industrial surplus product dealer. We acquire ... moreour products from company closures. Obsolete systems, government surplus, overstock, etc. Unless otherwise indicated, all products include our 14-day warranty. However, most products do not include a"manufacturer's warranty" Products are tested to the best of our abilities. This can include a power-up test. Navigating menus, performing simple operational tests, etc. We do not always have the resources and/or abilities to fully test each item we sell. However, we do guarantee and warranty all of our products(unless otherwise advertised"as is" broken" etc. Our standard guarantee/warranty period is 14 days. This period begins on the delivery date. This gives the customer enough time to receive, inspect, and test the product- to make sure the product is satisfactory. If there are any issues, please contact us. We present our surplus products as accurately as possible. Please note that our products only include the pictured items. If an item is not in the picture(i.e. a power adapter. Electrode, original packaging, etc. it is likely not included. That rule applies to"new in box" and"new, no box" items. Sometimes, if the original packag
Applied Materials 8310 Oxide Etcher Etching System
Applied Materials 8310 Oxide Etcher We are pleased to offer this 8310 Oxide Etcher. This system was purchased from a local university which was removing excess circuit board manufacturing equipment. This would be a great value for someone who is familiar with working on this type of equipment. Or it could be a great source of back up parts for your existing 8310 Oxide Etcher! This unit arrived to us partially disassembled. This is untested by our crew. You would be getting everything in the photos. We are happy to provide more photos by request. Please contact us for a freight quote prior to bidding! Please include your zip code and tell us if we will be sending to a business or residential address. Please also let us know if you have a dock or fork lift available. We will work hard to get the best possible freight quote for you! ESTIMATED ... moreFEDEX TRANSPORT TIMES THANK YOU For considering our item! We have been selling on ebay for over 12 years! Bid With Confidence!
MT. RITTER AND BANNER ETCHING by JOHN W. WINKLER, MASTER ETCHER
Mt. Ritter and Banner" with the creation date 1964 edition size of 30. By the artist that is just being released by the family of John W. Winkler, Master Etcher" A little information on Mr. Winkler: John W. Winkler(1890-1979) was born in Vienna and. With the aid of his mother and grandmother, left for the United States in 1910 on a forged passport, never thereafter to reveal his birth name. Reaching San Francisco by 1912, he stumbled upon the entryway of the San Francisco Institute of Art and enrolled on impulse to learn"cartooning" and thereby make a million dollars. But about three weeks into the course he discovered fine art and never looked back. By 1918 he had become a master pure-line etcher and by 1920 had earned the title"artist laureate of San Francisco. Bertha Jacques in Chicago would later compare him to ... moreRembrandt. And John Taylor Arms referred to him in 1934 as"Master of Line" and in 1940 as"Master of us all. Winkler's prints can be found in most major museums with the following museums having the most complete collections: The Achenbach Foundation. San Francisco; The Art Institute of Chicago; The Boston Public Library; the Library of Congress; the New York Public Library; The Smithsonian Institution; the Massachusetts Institute of Technology Museum; The Oakland Museum; The San Diego Museum; and the Brooklyn Museum. His wife. Elizabeth Ginno Winkler was also an artist/master etcher and worked and exhibited at the San Francisco World’s Fair of 1939- 1940. Her ecthings are also shown at various museums throughout the U.S. Please see my other auctions with her original etchings for sale also. If you would like anymore pictures of this. Please contact me for more. The size of this etching is 10 1/4" x 7 11/16" Please keep in mind that some of these etchings are on 200 year old paper and will never discolor or fade. Bid with confidence. Thanks for stopping by! Items will be shipped in tubes unless otherwise noted. These are all"lifetime impressions
Drytek/Lam 384T Plasma Etcher Modgraph 9" Super VGA Color Monitor, 3000377
Drytek/ Modgraph 9" Color Monitor for 384T Plasma Etcher. NEW Drytek# 3000377. Modgraph Mg-3930. It will ship Fedx ground. So please provide a phone# Shipping price quoted is for lower 48 states US Customers only. Available for inspection. Logan Technologies. LP 254-773-4070
MARTINDALE ELECTRIC ETCHER/MARKING, WRITE ON STEEL.
CONDITION; USED. WORKS GOOD. MARTINDALE MDL. CM. ELECTRIC ETCHER. COMPLETE WITH ETCHING PEN. AND CABLES. ELECTRICAL; 115 VOLT. MARTINDALE ETCHERS WRITE LIKE A PENCIL ON ALL ARTICLES MADE OF STEEL AND IRON. LETTERS OR NUMBERS ARE BURNED INTO THE METAL MAKING A PERMANENT RECORD. HAS ADJUSTABLE HEAT SETTING FOR DEPTH OF MARK. LOOK AND BID WITH CONFIDENCE. MY GOAL IS TO MAKE EVERY SALE A POSITIVE ONE. FEEL FREE TO ASK QUESTIONS AND THANKS FOR LOOKING. Powered by The free listing tool. List your items fast and easy and manage your active items.
Vintage French Enamel Old street Sign RUE EMILE BOILVIN Famous Artist & Etcher
A fabulous original old French enameled street sign marked, RUE ÉMILE BOILVIN PEINTRE et GRAVEUR MESSIN(1845- 1899) World famous French 19th. Century. Painter& Etcher. The son of a shopkeeper. Emile Boilvin was born May 7, 1845 in Metz, Moselle.Passionate about art, he enrolled at the School of Fine Arts in April 1864. He became a student of Isidore Pils and Pierre Edmond Alexandre Hédouin. He obtained several medals and in 1889 he won the grand accolade Prize" of the 1889 exposition and was made Chevalier of the Legion of Honor on October 29. 1889. Died 3 August 1899. Measures 62 cm x 36 cm x 2.5 cm or 24" x 14"x 1" Weight- 2.3 kgs or 5lb Please have a look at our other items for sale. Following our house move in SW France. We are having a huge clear out of French Antique, Art Deco, Art Nouveau, vintage ... moreCollectable, Architectural garden and household items from our period home. Any queries. Please do not hesitate to ask. Thank you for looking Will post worldwide. UK rates, please ask for shipping quote. We recycle packaging wherever possible. We will combine shipping if you buy one than one item. Subject to weight.
Advanced Energy PDW 2200 RF PS for Lam Etcher
Unit came off of a working system; but. I have no way to test it so it is being offered as-is with no returns. It will have to be sent motor freight or picked up in person. If shipped, buyer will have to pay actual shipping cost + a $50.00 palletizing fee.
Hitachi VALVE I/F Etcher 568-5502 Circuit Board working
Hitachi VALVE I/F Etcher 568-5502 Circuit Board working Please look at the pictures for more info. YOU WILL GET EXACTLY THE ONE SHOWING IN PICTURE Used. WORKING Condition Payment: PayPal payment only. Immediate payment when buyer uses Buy It Now. Shipping and Handling: USPS/FedEx MAIL. Ships the same day as payment received and cleared, only to PayPal Global Shipping Program Buyers: We are not responsible for any import duties or customs fees. Before you buy please use eBay shipping calculator and check with your local customs office! Returns: Are accepted within 14 days only in US and for certain items. Please feel free to contact us first for any concerns. All non-defective returns are subject to a 15% restocking fee. All return shipping charges must be prepaid by the customer. There are no refunds on shipping. The cost of shipping will ... morebe credited only in those instances where the return is a result of our error.
Hedley Fitton R.E.(Architectural Etcher) "Market Cross,Chichester,W.Sussex" 1926
Click Here. Double your traffic. Get Vendio Gallery- Now FREE! Hedley Fitton R.E. Architectural Etcher) Market Cross. Chichester, W.Sussex" 1926 Listed Artist: Hedley Fitton. R.E. Architectural Etcher) Fine Etching"The Market Cross. Chichester, West Sussex" 1926 Artist: Hedley Fitton Medium: Etching with drypoint Subject: The Market Cross. Chichester, West Sussex Date: 1926 You are bidding on this beautiful etching of Market Cross. Chichester, West Sussex. It is pencil signed under the image and notated in the plate: London, Pub'd Dec. 1st 1926 by R. Dunthorn and Son Ltd. 5 Vigo St. W" Artist: Hedley Fitton R.E. 1857-1929) was an Architectural etcher who traveled extensively in France and Italy as well as Britain to find inspiration for his plates. Elected A.R.E. the Royal Society of Painter-Etchers in 1903 and a full ... moremember in 1908(R.E. He exhibited 36 etchings at the Royal Society and also at the Royal Academy and the Fine Art Society. Size: Unframed 13.5" x 16.5" Framed: 22.25" x 25.5" Condition: Signed- in pristine condition. In frame with glass(Sorry about the glare in the pictures but I was reluctant to remove the etching from the frame) No damage or fading. Frame is gilded and in excellent condition; back paper has a couple of holes. Fitton was an amazing artist capturing the nuances and atmosphere of architecture and environs. I love the way the foreground motion is captured; as a shadow of the past or a prediction of the future. This truly is a stunning example Fitton at his best! At Green Harbor Auctions. We provide many pictures for your review, taken with and without flash so you can see how the item appears in different light. We encourage you to use the supersize feature when viewing the photos to appreciate the condition, quality and details of all of our items. p.s. Bid with confidence.we are proud of our 100% positive eBay customer satisfaction rating! Payment must be made within 5 day of auction end or sadly. We will cancel the sale. Please contact us
WESTERN TECHNOLOGY VRP-200 ETCHER
WESTERN TECHNOLOGY VRP-200 ETCHER NEED SOME REPAIRS. SOLD AS IS
WESTERN TECHNOLOGY VRP-70 ETCHER IN NEW CONDITION
WESTERN TECHNOLOGY VRP-70 ETCHER IN NEW CONDITION
ETCHER/ ENGRAVER LUMA ELECTRIC EQUIPMENT #500663 115VOLT 4 Amp 60CY
We deal mainly in pre-owned items. As a result and for that reason. They are USED(except if otherwise noted) Since the nature of pre-owned items is that there is always some normal aging and wear. You should assume that to be the case in our auctions. We are not experts in every field and it is the Buyers responsibility to ascertain the value of the item. So if additional information is required. Please contact us well in advance of the auction's conclusion with any questions prior to bidding by using eBay's messaging system.clicking on the"Ask seller a question" above. We will answer your questions promptly. We do not deliberately misrepresent our items and always attempt to thoroughly and accurately describe each item to the best of our capability. Still. We are human and subject to error. Consequently, something may slip ... morepast us. To prevent misconceptions about our items. We provide large photos for viewing in case we missed something. Picture: DESCRIPTION: Up for auction is a Luma Electric Co. Etcher/Engraver 4 Amp model. This is a working unit as tested. Please note as the cable for ground was missing I just used the piece of wire I had handy to test it. But I believe it should use a heavier cable with a lug on one end and maybe a clamp on the other end would be useful. Take a look at pics and then bid! Good Luck! We are not expert appraisers and the grading method is not intended to be authoritative or all-inclusive. But only a subjective guide. Our opinion of"Mint. Near-Mint, Excellent, Very Good, Good, Fair, Poor" may vastly differ from yours. CONDITION: Excellent working USED Once you are notified by email that you are the winner of the auction. You can arrange payment via PayPal. We accept e-check and credit card payments through PayPal. All payments must be made in US dollars. Full payment must be received within three(3) days of the auction's ending. Non-Payment/ Non-Contact A winning bid is a binding contract. All transactions not completed within five(5) days of the a
A PAIR OF TALL STEMWARE GLASSES ETCHED BY W. J. HUGHES, CANADIAN ETCHER
THIS SALE FEATURES A PAIR OF LONG STEMWARE. 6" AMERICAN CRYSTAL, ETCHED BY CANADA'S W. J. HUGHES DURING THE PERIOD OF 1929 THROUGH 1959. THEY CAN BE USED AS CHAMPAGNE FLUTES, OR WATER GLASSES. BACK IN THE DAY, WE USED A DIFFERENT STYLE OF CHAMPAGNE GLASSES, BUT THESE FIT RIGHT IN WITH TODAY'S STYLE. ONE HAS A VERY TINY FLEA BITE ON THE RIM, AND THE SET ARE PRICED ACCORDINGLY. HUGHES ETCHED"CORNFLOWERS" ON EACH PIECE, 12 PETALS, STEM AND LEAVES. THIS IS A PAYPAL AUCTION/SALE SHIPPING: PLEASE REFER TO THE SHIPPING CALCULATOR ON THE LISTING PAGE. OR CONTACT ME. IT WILL BE SHIPPED VIA CANADA POST'S"SMALL PARCEL- USA-AIR" ONLY USE BUBBLE-WRAP AND CLEAN WHITE PAPER TO ENSURE SAFE DELIVERY AND I DO NOT CHARGE HANDLING FEES. PLEASE TAKE THE TIME TO VIEW MY OTHER AUCTION/SALE ITEMS. ALL DIFFERENT, AND EITHER ANTIQUE, ... moreVINTAGE, OR COLLECTIBLE. THANKS FOR VIEWING! RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Fuk%601d72f%2Bfg2%3E-14bafd5b570-0x10e-
1910 JOSEPH PENNELL AMERICAN ARTIST ILLUSTRATOR ETCHER LITHOGRAPHER LETTER SIGND
YOU ARE BIDDING ON A SIGNED LETTER BY JOSEPH PENNELL THIS IS A LETTER WRITTEN AND SIGNED BY JOSEPH PENNELL(1857-1926) AMERICAN ARTIST, BORN IN PHILADELPHIA. HE WAS NOTED FOR HIS WORK IN ILLUSTRATION, ETCHING, AND LITHOGRAPHY. THE LETTER WAS WRITTEN ON A LETTERHEAD OF THE BLACKSTONE HOTEL, CHICAGO, IS DATED 1910, AND THE ENVELOPE IS ADDRESSED TO MISS HARRIET MONGOMERY OF CHICAGO. THE LETTER AND ENVELOPE ARE IN VERY GOOD CONDITION. PLEASE ENLARGE-ZOOM SCAN FOR BETTER LOOK AT CONDITION(PAPER SHIPPED IN MANILA ENVELOPE AND SANDWICHED BETWEEN 2 CARDBOARDS) IN THE U.S. SHIPPING& HANDLING FREE INSURED FCM. INTERNATIONAL- SHIPPING& HANDLING $26.00 REGISTERED FCM. WE ARE ACCEPTING PAYMENT WITH PAY-PAL. IF YOU WIN MORE THAN ONE TRANSACTION. PLEASE WAIT FOR US TO SEND YOU ONE INVOICE FOR ALL YOUR WINS! WE'LL SHIP THE ABOVE ITEM AS SOON AS ... moreWE RECEIVE PAYMENT. ALWAYS USE ITEM NUMBER IN E-MAIL FOR QUICK RESPONSE. NEVADA RESIDENT MUST ADD 8.10 SALES TAX. WE HAVE ENJOYED LOOKING FOR AND BUYING THIS ITEM. WE ARE SURE YOU'LL ENJOY IT AND OUR SERVICE! Check out our other items!
Book: 1881 Etcher Handbook by Philip Gilbert Hammerton for Etching Instruction
The Etcher's Handbook” By Philip Gilbert Hamerton. Published in London by Charles Roberson& Co. and Boston; Roberts Brothers in 1881. 3rd edition. description continues below the picture- DISCUSSION: Giving an account of the old processes. And of processes recently discovered" A very nice copy of a standard Victorian study of the technique of etching. Hamerton, an artist himself, discusses the medium as a means of artistic expression as well as its' myriad variations and method. The text is illustrated with six etchings which illustrates points the author is making. Philip Gilbert Hamerton(1834–1894) Was an English artist and art critic and author. Discovering after a time that he was more suited to art criticism than painting, he moved to the area his wife came from, in France, where he produced his Painter's Camp in ... morethe Highlands(1863) which was very successful and prepared the way for his standard work on Etching and Etchers(1866) In the following year he published Contemporary French Painters, and in 1868 a continuation, Painting in France after the Decline of Classicism. He had by now become art critic to the Saturday Review, which necessitated frequent visits to England, forcing him to give it up. He proceeded(1870) to establish an art journal of his own, The Portfolio, a monthly periodical, each number of which consisted of a monograph upon some artist or group of artists, frequently written and always edited by him. The discontinuation of his painting gave him time for writing, and he successively produced The Intellectual Life(1873) perhaps the best known and most valuable of his writings; Round my House(1876) notes on French society by a resident; and Modern Frenchmen(1879) admirable short biographies. In 1882 he issued a finely illustrated work on the technique of the great masters of various arts, under the title of The Graphic Arts, and three years later another splendidly illustrated volume, Landscape, which traces the influence of landscape upon the mind of man. His last bo
Lam Research Rainbow 4500 Plasma Etcher
LAM Rainbow 4500 with Envision. Hine indexers, and BAC. MFCs cleaned and calibrated. Sold as-is